Read Error Resilient MLC STT-MRAM Based Last Level Cache

STT-MRAM is a promising non-volatile memory technology for building large LLCs (Last Level Caches). Multi-level cell (MLC) STT-MRAM can further enlarge cache capacity with reduced per bit cost. However, due to fast technology scaling, STT-MRAM, in particular, MLC STT-MRAM, suffers from significant read errors, including read disturbance errors and sensing errors, which lead to unreliable accesses that prevent the adoption of MLC STT-MRAM in LLCs. In this paper, we propose R2M, a read error resilient 2T4J (two transistor four MTJ) MLC based LLC design. R2M leverages the recently industry-proposed 2T2J single-level cell (SLC) structure to achieve good tradeoff between reliability and capacity. It consists of two schemes: R2M-S and R2M-C. R2M-S improves read reliability by sensing the resistance difference of two cells, which effectively mitigates both sensing and disturbance errors for the soft bit of MLC. R2M-C further enhances error resiliency by exploiting access locality and data redundancy. We evaluate the proposed R2M design and compare it to the state-of-the-art. Our experimental results show that, on average, R2M achieves 54.8% performance improvement and 42.0% energy consumption reduction over the state-of-the-art MLC design.

[1]  Bruce Jacob,et al.  Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[2]  Jun Yang,et al.  Selective restore: An energy efficient read disturbance mitigation scheme for future STT-MRAM , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[3]  Zhaohao Wang,et al.  A low-cost built-in error correction circuit design for STT-MRAM reliability improvement , 2013, Microelectron. Reliab..

[4]  Yiran Chen,et al.  Read Performance: The Newest Barrier in Scaled STT-RAM , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Jun Yang,et al.  Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors , 2012, DAC Design Automation Conference 2012.

[6]  Donald Yeung,et al.  BioBench: A Benchmark Suite of Bioinformatics Applications , 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

[7]  Danghui Wang,et al.  Unleashing the potential of MLC STT-RAM caches , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Harish Patil,et al.  Pin: building customized program analysis tools with dynamic instrumentation , 2005, PLDI '05.

[9]  Saori Kashiwada,et al.  A 250-MHz 256b-I/O 1-Mb STT-MRAM with advanced perpendicular MTJ based dual cell for nonvolatile magnetic caches to reduce active power of processors , 2013, 2013 Symposium on VLSI Circuits.

[10]  Yiran Chen,et al.  Multi-level cell STT-RAM: Is it realistic or just a dream? , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[11]  Yiran Chen,et al.  The Prospect of STT-RAM Scaling From Readability Perspective , 2012, IEEE Transactions on Magnetics.

[12]  Youguang Zhang,et al.  Yield and Reliability Improvement Techniques for Emerging Nonvolatile STT-MRAM , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[13]  M. Hosomi,et al.  A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[14]  Wei Wu,et al.  Energy-efficient cache design using variable-strength error-correcting codes , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[15]  Christian Bienia,et al.  PARSEC 2.0: A New Benchmark Suite for Chip-Multiprocessors , 2009 .

[16]  Won Woo Ro,et al.  Warped-Compression: Enabling power efficient GPUs through register compression , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

[17]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Sachin S. Sapatnekar,et al.  Improving STT-MRAM density through multibit error correction , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[19]  Satoshi Takaya,et al.  7.5 A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[20]  Weisheng Zhao,et al.  High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits , 2009, IEEE Transactions on Magnetics.

[21]  Zhaohao Wang,et al.  Variation-Tolerant High-Reliability Sensing Scheme for Deep Submicrometer STT-MRAM , 2014, IEEE Transactions on Magnetics.

[22]  H. Ohno,et al.  A multi-level-cell spin-transfer torque memory with series-stacked magnetotunnel junctions , 2010, 2010 Symposium on VLSI Technology.

[23]  H. Ohno,et al.  Highly-scalable disruptive reading scheme for Gb-scale SPRAM and beyond , 2010, 2010 IEEE International Memory Workshop.

[24]  Onur Mutlu,et al.  Base-delta-immediate compression: Practical data compression for on-chip caches , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[25]  Hui Zhao,et al.  A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory , 2013, IEEE Journal of Solid-State Circuits.

[26]  Yiran Chen,et al.  State-restrict MLC STT-RAM designs for high-reliable high-performance memory system , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[27]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.