Design of a low-power 60 GHz transceiver front-end and behavioral modeling and implementation of its key building blocks in 65 nm CMOS
暂无分享,去创建一个
[1] Sorin P. Voinigescu,et al. On-die source-pull for the characterization of the W-band noise performance of 65 nm general purpose (GP) and low power (LP) n-MOSFETs , 2009, 2009 IEEE MTT-S International Microwave Symposium Digest.
[2] T. Melly,et al. Design of high-Q varactors for low-power wireless applications using a standard CMOS process , 2000, IEEE Journal of Solid-State Circuits.
[3] Xiaojun Yuan,et al. A power efficient 60 GHz 90nm CMOS OOK receiver with an on-chip antenna , 2009, 2009 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT).
[4] Luis M. Correia,et al. Characterisation of propagation in 60 GHz radio channels (invited) , 2004 .
[5] B. Razavi,et al. A Millimeter-Wave CMOS Heterodyne Receiver With On-Chip LO and Divider , 2008, IEEE Journal of Solid-State Circuits.
[6] Dong Yun Jung,et al. C gs compensating V-band resistive mixer with low conversion loss at low LO power , 2010 .
[7] Fang Wang,et al. A new macromodeling approach for nonlinear microwave circuits based on recurrent neural networks , 2000, 2000 IEEE MTT-S International Microwave Symposium Digest (Cat. No.00CH37017).
[8] Hong-Yeh Chang,et al. A 60GHz Low-Power Six-Port Transceiver for Gigabit Software-Defined Transceiver Applications , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[9] Emilia Moldovan,et al. A 60 GHz multiport front‐end architecture with integrated phased antenna array , 2008 .
[10] Peter J. Ashenden,et al. The System Designer's Guide to VHDL-AMS , 2002 .
[11] Dominique Schreurs. Overview of non-linear device modelling methods based on vectorial large-signal measurements , 1999 .
[12] Behzad Razavi,et al. Stacked inductors and transformers in CMOS technology , 2001 .
[13] S.E. Gunnarsson,et al. Fully integrated 60-GHz single-ended resistive mixer in 90-nm CMOS technology , 2006, IEEE Microwave and Wireless Components Letters.
[14] H. J. Kuno,et al. High Data-Rate Solid-State Millimeter-Wave Transmitter Module , 1975 .
[15] Nicolas Deparis,et al. UWB in Millimeter Wave Band With Pulsed ILO , 2008, IEEE Transactions on Circuits and Systems II: Express Briefs.
[16] Alberto Valdes-Garcia,et al. A SiGe BiCMOS 16-element phased-array transmitter for 60GHz communications , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[17] John Wood,et al. New techniques for non-linear behavioral modeling of microwave/RF ICs from simulation and nonlinear microwave measurements , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[18] Gabriel M. Rebeiz,et al. High-isolation CPW MEMS shunt switches. 1. Modeling , 2000 .
[19] T. Yao,et al. 65-GHz receiver in SiGe BiCMOS using monolithic inductors and transformers , 2006, Digest of Papers. 2006 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems.
[20] J. Butler,et al. Beam-forming matrix simplifies design of electronically scanned antennas , 1961 .
[21] R.W. Brodersen,et al. A 1 Gb/s Mixed-Signal Baseband Analog Front-End for a 60 GHz Wireless Receiver , 2009, IEEE Journal of Solid-State Circuits.
[22] Tong Wang,et al. 22-29GHz CMOS Pulse Generator for Ultra-Wideband Radar Application , 2006, 2006 Proceedings of the 32nd European Solid-State Circuits Conference.
[23] R. Plana,et al. Nonlinear behavioral modeling of oscillators in VHDL-AMS using Artificial Neural Networks , 2008, 2008 IEEE Radio Frequency Integrated Circuits Symposium.
[24] Hong-Yeh Chang,et al. A 63 GHz VCO using a standard 0.25 /spl mu/m CMOS process , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[25] Yuan Taur,et al. Fundamentals of Modern VLSI Devices , 1998 .
[26] A. Abidi,et al. Physical processes of phase noise in differential LC oscillators , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
[27] Danilo Manstretta,et al. A 53 GHz DCO for mm-wave WPAN , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[28] P. Pons,et al. Frequency Scalable Model for MEMS Capacitive Shunt Switches at Millimeter-Wave Frequencies , 2009, IEEE Transactions on Microwave Theory and Techniques.
[29] Richard Shi,et al. VHDL-AMS modeling and simulation of BPSK transceiver system , 2004 .
[30] B. Floyd,et al. 60GHz transceiver circuits in SiGe bipolar technology , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[31] Hong-Yu Yang,et al. A low-power V-band CMOS low-noise amplifier using current-sharing technique , 2008 .
[32] Huei Wang,et al. A Miniature V-band 3-Stage Cascode LNA in 0.13/spl mu/m CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[33] J. L. Showell,et al. A scalable high-frequency noise model for bipolar transistors with application to optimal transistor sizing for low-noise amplifier design , 1997 .
[34] Suiyan Geng. PERFORMANCE AND CAPACITY ANALYSIS OF 60 GHz WPAN CHANNEL , 2009 .
[35] John R. Long,et al. A 60GHz-band 2×2 phased-array transmitter in 65nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[36] T. Manku,et al. A charge-injection method for Gilbert cell biasing , 1998, Conference Proceedings. IEEE Canadian Conference on Electrical and Computer Engineering (Cat. No.98TH8341).
[37] John G. Proakis,et al. Digital Communications , 1983 .
[38] B. Razavi. CMOS transceivers for the 60-GHz band , 2006, IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 2006.
[39] Daniela Dragomirescu,et al. A wideband single-balanced down-mixer for the 60 GHz band in 65 nm CMOS , 2010, 2010 Asia-Pacific Microwave Conference.
[40] Sanjay Raman,et al. Large-signal analysis of MOS varactors in CMOS -Gm LC VCOs , 2003, IEEE J. Solid State Circuits.
[41] Shmuel Ravid,et al. A thirty two element phased-array transceiver at 60GHz with RF-IF conversion block in 90nm flip chip CMOS process , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.
[42] M. Fujishima,et al. 60-GHz CMOS Down-Conversion Mixer with Slow-Wave Matching Transmission Lines , 2006, 2006 IEEE Asian Solid-State Circuits Conference.
[43] J. Graffeuil,et al. High quality factor and high self-resonant frequency monolithic inductor for millimeter-wave Si-based IC's , 2002, 2002 IEEE MTT-S International Microwave Symposium Digest (Cat. No.02CH37278).
[44] E. van der Heijden,et al. A 60GHz Miller Effect Based VCO in 65nm CMOS with 10.5% Tuning Range , 2009, 2009 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems.
[45] P. Schvan,et al. A balanced 1.5 GHz voltage controlled oscillator with an integrated LC resonator , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[46] B. Razavi. A 60GHz direct-conversion CMOS receiver , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[47] Liang-Hung Lu,et al. A V-Band CMOS VCO With an Admittance-Transforming Cross-Coupled Pair , 2009, IEEE Journal of Solid-State Circuits.
[48] Jri Lee,et al. A low-power fully integrated 60GHz transceiver system with OOK modulation and on-board antenna assembly , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[49] M. Hargrove,et al. RF Potential of a 0 . 18-m CMOS Logic Device Technology , 2000 .
[50] Daquan Huang,et al. A 60GHz CMOS VCO Using On-Chip Resonator with Embedded Artificial Dielectric for Size, Loss and Noise Reduction , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[51] Francesco Svelto,et al. Design of Low-Loss Transmission Lines in Scaled CMOS by Accurate Electromagnetic Simulations , 2009, IEEE Journal of Solid-State Circuits.
[52] Ali M. Niknejad,et al. Electromagnetics for High-Speed Analog and Digital Communication Circuits: Preface , 2007 .
[53] Davide Guermandi,et al. A wideband mm-Wave CMOS receiver for Gb/s communications employing interstage coupled resonators , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[54] A. Babakhani,et al. A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Transmitter and Local LO-Path Phase Shifting , 2006, IEEE Journal of Solid-State Circuits.
[55] L. Clavier,et al. Transposition of a baseband UWB signal at 60 GHz for high data rate indoor WLAN , 2005, IEEE Microwave and Wireless Components Letters.
[56] T. Yao,et al. SiGe BiCMOS 65-GHz BPSK transmitter and 30 to 122 GHz LC-varactor VCOs with up to 21% tuning range , 2004, IEEE Compound Semiconductor Integrated Circuit Symposium, 2004..
[57] B.A. Bloechel,et al. 64 GHz and 100 GHz VCOs in 90 nm CMOS using optimum pumping method , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[58] W. Redman-White,et al. Ultra high-compliance CMOS current mirrors for low voltage charge pumps and references , 2004, Proceedings of the 30th European Solid-State Circuits Conference.
[59] K. O. Kenneth,et al. Millimeter-wave voltage-controlled oscillators in 0.13-μm CMOS technology , 2006, IEEE J. Solid State Circuits.
[60] Huei Wang,et al. A 15-50 GHz broadband resistive FET ring mixer using 0.18-µm CMOS technology , 2010, 2010 IEEE MTT-S International Microwave Symposium.
[61] B. Razavi,et al. A 60-GHz CMOS receiver front-end , 2006, IEEE Journal of Solid-State Circuits.
[62] Behzad Razavi,et al. Design considerations for direct-conversion receivers , 1997 .
[63] HongMo Wang. A 50 GHz VCO in 0.25 /spl mu/m CMOS , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[64] P. Tasker,et al. Importance of source and drain resistance to the maximum f/sub T/ of millimeter-wave MODFETs , 1989, IEEE Electron Device Letters.
[65] P. Schvan,et al. Algorithmic Design of CMOS LNAs and PAs for 60-GHz Radio , 2007, IEEE Journal of Solid-State Circuits.
[66] Glenn F. Engen,et al. Application of an Arbitrary 6-Port Junction to Power-Measurement Problems , 1972 .
[67] A Koelpin,et al. The Six-Port in Modern Society , 2010, IEEE Microwave Magazine.
[68] P. Nikitin,et al. VHDL-AMS behavioral modeling and simulation of a /spl Pi//4 DQPSK transceiver system , 2004, Proceedings of the 2004 IEEE International Behavioral Modeling and Simulation Conference, 2004. BMAS 2004..
[69] R. Fujimoto,et al. A 60-GHz CMOS Receiver with Frequency Synthesizer , 2007, 2007 IEEE Symposium on VLSI Circuits.
[70] Guo-Wei Huang,et al. A novel cascade-based de-embedding method for on-wafer microwave characterization and automatic measurement , 2004, 2004 IEEE MTT-S International Microwave Symposium Digest (IEEE Cat. No.04CH37535).
[71] S.P. Voinigescu,et al. 30-100-GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits , 2005, IEEE Transactions on Microwave Theory and Techniques.
[72] Minoru Fujishima,et al. 60GHz-Pulse Detector Based on CMOS Nonlinear Amplifier , 2009, 2009 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems.
[73] Stephen A. Maas,et al. Microwave Mixers , 1986 .
[74] B. Razavi,et al. A New Transceiver Architecture for the 60-GHz Band , 2009, IEEE Journal of Solid-State Circuits.
[75] M.J. Deen,et al. An effective gate resistance model for CMOS RF and noise modeling , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[76] E. Skafidas,et al. A 60-GHz direct-conversion transmitter in 130-nm CMOS , 2008, 2008 IEEE Asian Solid-State Circuits Conference.
[77] K. Soumyanath,et al. A 64 GHz LNA With 15.5 dB Gain and 6.5 dB NF in 90 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[78] Minoru Fujishima,et al. 50GHz Double-Balanced Up-Conversion Mixer Using CMOS 90nm Process , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[79] R. Adler. A Study of Locking Phenomena in Oscillators , 1946, Proceedings of the IRE.
[80] F. Svelto,et al. An 11.5% frequency tuning, −184 dBc/Hz noise FOM 54 GHz VCO , 2008, 2008 IEEE Radio Frequency Integrated Circuits Symposium.
[81] A. Colquhoun,et al. A fully monolithic integrated 60 GHz receiver , 1989, 11th Annual Gallium Arsenide Integrated Circuit (GaAs IC) Symposium.
[82] Mohammed Sobhy,et al. Nonlinear system and subsystem modeling in time domain , 1996 .
[83] Mohammed Ismail,et al. A 60 GHz receiver front-end in 65 nm CMOS , 2011 .
[84] S. Simon Wong,et al. Analysis and optimization of accumulation-mode varactor for RF ICs , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[85] K. Maruhashi,et al. A 60-GHz band CMOS phased array transmitter utilizing compact baseband phase shifters , 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.
[86] A Riddle. A Long, Winding Road , 2010, IEEE Microwave Magazine.
[87] J.-F. Luy,et al. Configurable RF receiver architectures , 2004, IEEE Microwave Magazine.
[88] Ali M. Niknejad,et al. A 90nm CMOS low-power 60GHz transceiver with integrated baseband circuitry , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[89] Chung-Yu Wu,et al. The Design of Low LO-Power 60-GHz CMOS Quadrature-Balanced Self-Switching Current-Mode Mixer , 2008, IEEE Microwave and Wireless Components Letters.
[90] P. Chevalier,et al. Design and Scaling of SiGe BiCMOS VCOs Above 100GHz , 2006, 2006 Bipolar/BiCMOS Circuits and Technology Meeting.
[91] F. Korndorfer,et al. 60 GHz transceiver circuits in SiGe:C BiCMOS technology , 2004, Proceedings of the 30th European Solid-State Circuits Conference.
[92] A. Demir,et al. Phase noise in oscillators: a unifying theory and numerical methods for characterization , 2000 .
[93] Chorng-Kuang Wang,et al. A 60-GHz Phased Array Receiver Front-End in 0.13-$\mu {\hbox{m}}$ CMOS Technology , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.
[94] Christoph Scheytt,et al. 60 GHz SiGe-BiCMOS Radio for OFDM Transmission , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[95] Jean-Olivier Plouchart,et al. A 70GHz Manufacturable Complementary LC-VCO with 6.14GHz Tuning Range in 65nm SOI CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[96] M.A.T. Sanduleanu,et al. CMOS Integrated Transceivers for 60GHz UWB Communication , 2007, 2007 IEEE International Conference on Ultra-Wideband.
[97] Jianjun Xu,et al. Neural based dynamic modeling of nonlinear microwave circuits , 2002, IMS 2002.
[98] Daniela Dragomirescu,et al. A passive mixer for 60 GHz applications in CMOS 65nm technology , 2010, German Microwave Conference Digest of Papers.
[99] Daniela Dragomirescu,et al. Accurate electromagnetic simulation and measurement of millimeter-wave inductors in bulk CMOS technology , 2010, 2010 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF).
[100] Mikko Kärkkäinen,et al. Millimeter-wave amplifiers in 65-nm CMOS , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.
[101] A. Siligaris,et al. CMOS SOI technology for WPAN. Application to 60 GHz LNA , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.
[102] Jeng-Han Tsai,et al. A 30–100 GHz Wideband Sub-Harmonic Active Mixer in 90 nm CMOS Technology , 2008, IEEE Microwave and Wireless Components Letters.
[103] Ali M. Niknejad,et al. Analysis, design, and optimization of spiral inductors and transformers for Si RF ICs , 1998, IEEE J. Solid State Circuits.
[104] Daniela Dragomirescu,et al. A dual-gate 60GHz direct up-conversion mixer with active IF balun in 65nm CMOS , 2010, 2010 IEEE International Conference on Wireless Information Technology and Systems.
[105] Nicolas Deparis,et al. A 2 pJ/bit pulsed ILO UWB transmitter at 60 GHz in 65-nm CMOS-SOI , 2009, 2009 IEEE International Conference on Ultra-Wideband.
[106] P. Andreani,et al. On the use of MOS varactors in RF VCOs , 2000, IEEE Journal of Solid-State Circuits.
[107] C. J. Verver,et al. A 60 GHz CMOS balanced downconversion mixer with a layout efficient 90° hybrid coupler , 2009, 2009 IEEE Custom Integrated Circuits Conference.
[108] C. W. Gear,et al. Simultaneous Numerical Solution of Differential-Algebraic Equations , 1971 .
[109] Toshiya Mitomo,et al. A 77 GHz 90 nm CMOS transceiver for FMCW radar applications , 2009, 2009 Symposium on VLSI Circuits.
[110] Barrie Gilbert,et al. A precise four-quadrant multiplier with subnanosecond response , 1968, IEEE Solid-State Circuits Newsletter.
[111] P. Schvan,et al. Frequency Scaling and Topology Comparison of Millimeter-wave CMOS VCOs , 2006, 2006 IEEE Compound Semiconductor Integrated Circuit Symposium.
[112] Deukhyoun Heo,et al. A 1-V CMOS VCO for 60-GHz applications , 2005, 2005 Asia-Pacific Microwave Conference Proceedings.
[113] Bevin Perumana,et al. 60 GHz single-chip 90nm CMOS radio with integrated signal processor , 2008, 2008 IEEE MTT-S International Microwave Symposium Digest.
[114] H.T. Friis,et al. A Note on a Simple Transmission Formula , 1946, Proceedings of the IRE.
[115] M. Steyaert,et al. Design and Analysis of a 90 nm mm-Wave Oscillator Using Inductive-Division LC Tank , 2009, IEEE Journal of Solid-State Circuits.
[116] Minoru Fujishima,et al. 49 mW 5 Gbit/s CMOS receiver for 60 GHz impulse radio , 2009 .
[117] Eric Kerherve,et al. RF-pad, Transmission Lines and balun optimization for 60GHz 65nm CMOS Power Amplifier , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.
[118] D. Ritter,et al. An ultra low power LNA with 15dB gain and 4.4db NF in 90nm CMOS process for 60 GHz phase array radio , 2008, 2008 IEEE Radio Frequency Integrated Circuits Symposium.
[119] Chorng-Kuang Wang,et al. A 0.13μm CMOS fully differential receiver with on-chip baluns for 60GHz broadband wireless communications , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[120] D. Belot,et al. Design for millimeter-wave applications in silicon technologies , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.
[121] S. Yong,et al. TG3c channel modeling sub-committee final report , 2007 .
[122] E. Skafidas,et al. A 60-GHz Double-Balanced Gilbert Cell Down-Conversion Mixer on 130-nm CMOS , 2007, 2007 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium.
[123] K. Kang,et al. A 60 GHz LNA with 18.6 dB gain and 5.7 dB NF in 90nm CMOS , 2010, 2010 International Conference on Microwave and Millimeter Wave Technology.
[124] Serioja Ovidiu Tatu,et al. V-Band Multiport Heterodyne Receiver for High-Speed Communication Systems , 2007, EURASIP J. Wirel. Commun. Netw..
[125] A. Tomkins,et al. A Zero-IF 60 GHz 65 nm CMOS Transceiver With Direct BPSK Modulation Demonstrating up to 6 Gb/s Data Rates Over a 2 m Wireless Link , 2009, IEEE Journal of Solid-State Circuits.
[126] Behzad Razavi,et al. A mm-Wave CMOS Heterodyne Receiver with On-Chip LO and Divider , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[127] P. Wambacq,et al. A 52 GHz Phased-Array Receiver Front-End in 90 nm Digital CMOS , 2008, IEEE Journal of Solid-State Circuits.
[128] K.K. O,et al. A low-power up-conversion CMOS mixer for 22-29-GHz ultra-wideband applications , 2006, IEEE Transactions on Microwave Theory and Techniques.
[129] Michiel Steyaert,et al. A low power mm-wave oscillator using power matching techniques , 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.
[130] Minoru Fujishima,et al. 60 GHz CMOS pulse generator , 2007 .
[131] F. Ellinger,et al. 60 GHz VCO with wideband tuning range fabricated on VLSI SOI CMOS technology , 2004, 2004 IEEE MTT-S International Microwave Symposium Digest (IEEE Cat. No.04CH37535).
[132] E. Skafidas,et al. A 60 GHz VCO with 6GHz tuning range in 130 nm bulk CMOS , 2008, 2008 International Conference on Microwave and Millimeter Wave Technology.
[133] Marc Tiebout,et al. A 1V 51GHz fully-integrated VCO in 0.12/spl mu/m CMOS , 2002 .
[134] J. Plouchart,et al. A 1-V 3.8 - 5.7-GHz wide-band VCO with differentially tuned accumulation MOS varactors for common-mode noise rejection in CMOS SOI technology , 2003 .
[135] Wooyeol Choi,et al. 60 GHz broadband image rejection receiver using varactor tuning , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.
[136] E. Skafidas,et al. 60-GHz direct-conversion transceiver on 130-nm CMOS with integrated digital control interface , 2009, 2009 European Microwave Integrated Circuits Conference (EuMIC).
[137] D.E. Root,et al. A behavioral modeling approach to nonlinear model-order reduction for RF/microwave ICs and systems , 2004, IEEE Transactions on Microwave Theory and Techniques.
[138] R.W. Heath,et al. 60 GHz wireless communications: emerging requirements and design recommendations , 2007, IEEE Vehicular Technology Magazine.
[139] F. Danneville,et al. What are the limiting parameters of deep-submicron MOSFETs for high frequency applications? , 2003, IEEE Electron Device Letters.
[140] M. Hershenson,et al. Behavioral Modeling for High-Level Synthesis of Analog and Mixed-Signal Systems from VHDL-AMS , 2002 .
[141] A. Abidi. Direct-conversion radio transceivers for digital communications , 1995, Proceedings ISSCC '95 - International Solid-State Circuits Conference.
[142] D. Leeson. A simple model of feedback oscillator noise spectrum , 1966 .
[143] Ali M. Niknejad,et al. A Highly Integrated 60GHz CMOS Front-End Receiver , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[144] Yo-Sheng Lin,et al. A 60‐GHz CMOS receiver front‐end with integrated 180° out‐of‐phase Wilkinson power divider , 2010 .
[145] F. Ellinger,et al. Radio Frequency Integrated Circuits and Technologies , 2007 .
[146] J.A.M. Geelen,et al. An improved de-embedding technique for on-wafer high-frequency characterization , 1991, Proceedings of the 1991 Bipolar Circuits and Technology Meeting.
[147] J. Rieh,et al. A single-balanced 60-GHz down-conversion mixer in 0.13 μm CMOS technology for WPAN applications , 2009, 2009 34th International Conference on Infrared, Millimeter, and Terahertz Waves.
[148] Reza Mahmoudi,et al. A 60-GHz double-balanced homodyne down-converter in 65-nm CMOS process , 2009, 2009 European Microwave Integrated Circuits Conference (EuMIC).
[149] Kenichi Maruhashi,et al. TX and RX Front-Ends for 60GHz Band in 90nm Standard Bulk CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[150] Yo-Sheng Lin,et al. Low-power 48-GHz CMOS VCO and 60-GHz CMOS LNA for 60-GHz dual-conversion receiver , 2009, 2009 International Symposium on VLSI Design, Automation and Test.
[151] E. Seebacher,et al. MOS varactor modeling with a subcircuit utilizing the BSIM3v3 model , 2002 .
[152] Moe Z. Win,et al. Impulse radio: how it works , 1998, IEEE Communications Letters.
[153] Tzu-Chao Yan,et al. A CMOS Up-Conversion Mixer with Wide IF Bandwidth for 60-GHz Applications , 2009, 2009 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems.
[154] H. Chuang,et al. Design of a 60-GHz down-converting dual-gate mixer in 130-nm CMOS technology , 2009, 2009 European Microwave Conference (EuMC).
[155] S.P. Voinigescu,et al. 165-GHz Transceiver in SiGe Technology , 2008, IEEE Journal of Solid-State Circuits.
[156] Theodore S. Rappaport,et al. In-building wideband partition loss measurements at 2.5 and 60 GHz , 2004, IEEE Transactions on Wireless Communications.
[157] Duixian Liu,et al. A 16-element phased-array receiver IC for 60-GHz communications in SiGe BiCMOS , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.
[158] R. Plana,et al. Architecture Considerations for 60 GHz Pulse Transceiver Front-Ends , 2007, 2007 International Semiconductor Conference.
[159] M. Fujishima,et al. 19.2mW 2Gbps CMOS pulse receiver for 60GHz band wireless communication , 2008, 2008 IEEE Symposium on VLSI Circuits.
[160] J. Laskar,et al. 60-GHz Integrated Transmitter Development in 90-nm CMOS , 2009, IEEE Transactions on Microwave Theory and Techniques.
[161] W. Winkler. 60 GHz circuits in SiGe HBT technology , 2005, IEEE Compound Semiconductor Integrated Circuit Symposium, 2005. CSIC '05..
[162] Yo-Sheng Lin,et al. A 4.9-dB NF 53.5–62-GHz micro-machined CMOS wideband LNA with small group-delay-variation , 2010, 2010 IEEE MTT-S International Microwave Symposium.
[163] Troels Emil Kolding. General accuracy considerations of microwave on-wafer silicon device measurements , 2000, 2000 IEEE MTT-S International Microwave Symposium Digest (Cat. No.00CH37017).
[164] Andrei Grebennikov. RF and Microwave Transistor Oscillator Design , 2007 .
[165] Da-Chiang Chang,et al. A 0.6 V, 4.32 mW, 68 GHz Low Phase-Noise VCO With Intrinsic-Tuned Technique in 0.13 $\mu$m CMOS , 2008, IEEE Microwave and Wireless Components Letters.
[166] Tzuen-Hsi Huang,et al. 56 GHz CMOS VCO integrated with a switchable non-uniform differential transmission-line inductor , 2009, 2009 European Microwave Conference (EuMC).
[167] Daniela Dragomirescu,et al. A VHDL-AMS model of RF MEMS capacitive shunt switches , 2009, 2009 International Semiconductor Conference.
[168] Huei Wang,et al. A Compact 60 GHz Integrated Up-Converter Using Miniature Transformer Couplers With 5 dB Conversion Gain , 2008, IEEE Microwave and Wireless Components Letters.
[169] Pin-Cheng Huang,et al. 60 GHz Double-Balanced Gate-Pumped Down-Conversion Mixers With a Combined Hybrid on 130 nm CMOS Processes , 2010, IEEE Microwave and Wireless Components Letters.
[170] Huei Wang,et al. A 60-GHz single-balance gate-pumped down-conversion mixer with reduced-size rat-race hybrid on 130-nm CMOS process , 2008, 2008 IEEE MTT-S International Microwave Symposium Digest.
[171] B. V. D. Pol. The Nonlinear Theory of Electric Oscillations , 1934 .
[172] Daniela Dragomirescu,et al. On the de-embedding of small value millimeter-wave CMOS inductor measurements , 2010, German Microwave Conference Digest of Papers.
[173] R.W. Brodersen,et al. A 60-GHz down-converting CMOS single-gate mixer , 2005, 2005 IEEE Radio Frequency integrated Circuits (RFIC) Symposium - Digest of Papers.
[174] Walter H. Ku,et al. Doubly balanced dual-gate CMOS mixer , 1999, IEEE J. Solid State Circuits.
[175] S.P. Voinigescu,et al. A 1.2V, 60-GHz radio receiver with on-chip transformers and inductors in 90-nm CMOS , 2006, 2006 IEEE Compound Semiconductor Integrated Circuit Symposium.
[176] Steve C. Cripps. Pulling Power [Microwave Bytes] , 2010 .
[177] G. Carchon,et al. A 60-GHz LNA and a 92-GHz Low-Power Distributed Amplifier in CMOS with Above-IC , 2008, 2008 European Microwave Integrated Circuit Conference.
[178] Jonathan Borremans,et al. A digitally controlled compact 57-to-66GHz front-end in 45nm digital CMOS , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[179] Jri Lee,et al. A fully integrated 77GHz FMCW radar system in 65nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[180] G. E. Athanasiadou,et al. Investigating the effects of antenna directivity on wireless indoor communication at 60 GHz , 1997, Proceedings of 8th International Symposium on Personal, Indoor and Mobile Radio Communications - PIMRC '97.
[181] C. Loyez,et al. 60 GHz UWB-IR transceiver with pulsed-injected locked oscillator , 2007, 2007 European Microwave Conference.
[183] Didier Belot,et al. 80 GHz low noise amplifiers in 65nm CMOS SOI , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.
[184] G. Lewicki,et al. Approximation by Superpositions of a Sigmoidal Function , 2003 .
[185] Ali Hajimiri,et al. A general theory of phase noise in electrical oscillators , 1998 .
[186] S. Reynolds,et al. 60 GHz transmitter circuits in 65nm CMOS , 2008, 2008 IEEE Radio Frequency Integrated Circuits Symposium.
[187] Shen-Iuan Liu,et al. A dual-band 61.4∼63GHz/75.5∼77.5GHz CMOS receiver in a 90nm technology , 2008, 2008 IEEE Symposium on VLSI Circuits.
[188] Constantine A. Balanis,et al. Antenna Theory: Analysis and Design , 1982 .
[189] Behzad Razavi. Estimation Methods for Quality Factors of Inductors Fabricated in Silicon Integrated Circuit Process Technologies , 2003 .
[190] Shmuel Ravid,et al. A bidirectional TX/RX four element phased-array at 60GHz with RF-IF conversion block in 90nm CMOS process , 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.
[191] M. Woo,et al. Low cost 65nm CMOS platform for Low Power & General Purpose applications , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..
[192] A. Tomkins,et al. Design and Modeling Considerations for Fully-Integrated Silicon W-Band Transceivers , 2007, 2007 IEEE International Workshop on Radio-Frequency Integration Technology.
[193] Tamio Saito,et al. 60-GHz transceiver for high-speed wireless LAN system , 1996, 1996 IEEE MTT-S International Microwave Symposium Digest.
[194] Jeng-Han Tsai,et al. MMICs in the millimeter-wave regime , 2009 .
[195] Daniela Dragomirescu,et al. A high performance integrated balun for 60 GHz application in 65nm CMOS technology , 2010, 2010 Asia-Pacific Microwave Conference.
[196] Jeng-Han Tsai,et al. A 0.7-V 60-GHz low-power LNA with forward body bias technique in 90 nm CMOS process , 2009, 2009 European Microwave Conference (EuMC).
[197] B. Gaucher,et al. A Silicon 60-GHz Receiver and Transmitter Chipset for Broadband Communications , 2006, IEEE Journal of Solid-State Circuits.
[198] Shmuel Ravid,et al. A Bidirectional TX/RX Four-Element Phased Array at 60 GHz With RF-IF Conversion Block in 90-nm CMOS Process , 2010, IEEE Transactions on Microwave Theory and Techniques.
[199] Michiel Steyaert,et al. A 1.8-GHz low-phase-noise CMOS VCO using optimized hollow spiral inductors , 1997, IEEE J. Solid State Circuits.
[200] J. Laskar,et al. A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK Digital Radio , 2010, IEEE Transactions on Microwave Theory and Techniques.
[201] Chia-Chin Chong,et al. An Overview of Multigigabit Wireless through Millimeter Wave Technology: Potentials and Technical Challenges , 2007, EURASIP J. Wirel. Commun. Netw..
[202] Reza Mahmoudi,et al. Fully balanced 60 GHz LNA with 37 % bandwidth, 3.8 dB NF, 10 dB gain and constant group delay over 6 GHz bandwidth , 2010, 2010 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF).
[203] Yves Rolain,et al. A 52GHz Phased-Array Receiver Front-End in 90nm Digital CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[204] E. Moldovan,et al. A 60 GHz Multi-port Receiver with Analog Carrier Recovery for Ultra Wideband Wireless Personal Area Networks , 2008, 2008 38th European Microwave Conference.
[205] Ernst Christen,et al. Vhdl-ams---a hardware description language for analog and mixed-signal applications , 1999 .
[206] Huei Wang,et al. Compact and broad-band millimeter-wave monolithic transformer balanced mixers , 2005 .
[207] G. Felic,et al. Implementation of a Gigabit Per Second Millimetre Wave Transceiver on CMOS , 2007, The 2nd International Conference on Wireless Broadband and Ultra Wideband Communications (AusWireless 2007).
[208] G. F. Engen,et al. Thru-Reflect-Line: An Improved Technique for Calibrating the Dual Six-Port Automatic Network Analyzer , 1979 .
[209] R. A. Hadaway,et al. Monolithic transformers for silicon RF IC design , 1998, Proceedings of the 1998 Bipolar/BiCMOS Circuits and Technology Meeting (Cat. No.98CH36198).
[210] A. Hajimiri,et al. A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Receiver and Antennas , 2006, IEEE Journal of Solid-State Circuits.
[211] Ian Oppermann,et al. UWB theory and applications , 2004 .
[212] Sorin P. Voinigescu,et al. CMOS SOCs at 100 GHz: System Architectures, Device Characterization, and IC Design Examples , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[213] H.T. Friis,et al. Noise Figures of Radio Receivers , 1944, Proceedings of the IRE.
[214] F. Danneville,et al. 60 GHz UWB Transmitter for Use in WLAN Communication , 2007, 2007 International Symposium on Signals, Systems and Electronics.
[215] C.-J. Richard Shi,et al. VHDL-AMS based modeling and simulation of mixed-technology microsystems: a tutorial , 2007, Integr..
[216] J. Tomas,et al. Behavioural modelling of phase noise and jitter in voltage-controlled oscillators with VHDL-AMS , 2002, ICCSC'02. 1st IEEE International Conference on Circuits and Systems for Communications. Proceedings (IEEE Cat. No.02EX605).
[217] Kung-Hao Liang,et al. A 200-Mb/s 10-mW super-regenerative receiver at 60 GHz , 2009, 2009 International Symposium on VLSI Design, Automation and Test.
[218] Ali Hajimiri,et al. Concepts and methods in optimization of integrated LC VCOs , 2001, IEEE J. Solid State Circuits.
[220] R.W. Brodersen,et al. Millimeter-wave CMOS design , 2005, IEEE Journal of Solid-State Circuits.
[221] B. Gaucher,et al. SiGe bipolar transceiver circuits operating at 60 GHz , 2005, IEEE Journal of Solid-State Circuits.
[222] J. Laskar,et al. A Single-Chip 25pJ/bit Multi-Gigabit 60GHz Receiver Module , 2007, 2007 IEEE/MTT-S International Microwave Symposium.
[223] Jeng-Han Tsai,et al. A 51 to 65 GHz Low-Power Bulk-Driven Mixer Using 0.13 $\mu$m CMOS Technology , 2009, IEEE Microwave and Wireless Components Letters.
[224] A. Natarajan,et al. A 60GHz variable-gain LNA in 65nm CMOS , 2008, 2008 IEEE Asian Solid-State Circuits Conference.
[225] M. Kantanen,et al. 60 GHz Frequency Conversion 90 nm CMOS Circuits , 2008, 2008 European Microwave Integrated Circuit Conference.
[226] E.H. Armstrong,et al. Some Recent Developments of Regenerative Circuits , 1922, Proceedings of the Institute of Radio Engineers.
[227] A. Niknejad. Siliconization of 60 GHz , 2010, IEEE Microwave Magazine.
[228] Ali M. Niknejad,et al. Low-Power mm-Wave Components up to 104GHz in 90nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[229] Yo-Sheng Lin,et al. A 57-GHz CMOS VCO WITH 185.3% TUNING-RANGE ENHANCEMENT USING TUNABLE LC SOURCE-DEGENERATION? , 2009 .
[230] Calvin Plett,et al. CMOS low-noise amplifier with VPW matching elements for 60-GHz-band Gbit/s wireless systems , 2009, 2009 Asia Pacific Microwave Conference.
[231] I. Seto,et al. A 60-GHz CMOS Receiver Front-End With Frequency Synthesizer , 2008, IEEE Journal of Solid-State Circuits.
[232] M Kraemer,et al. A High Efficiency Differential 60 GHz VCO in a 65 nm CMOS Technology for WSN Applications , 2011, IEEE Microwave and Wireless Components Letters.
[233] Christoph Scheytt,et al. Asymmetric dual-band UWB / 60 GHz demonstrator , 2008, 2008 IEEE 19th International Symposium on Personal, Indoor and Mobile Radio Communications.
[234] J. Burghartz,et al. On the design of RF spiral inductors on silicon , 2003 .
[235] Peter Baltus,et al. A 60GHz digitally controlled RF-beamforming receiver front-end in 65nm CMOS , 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.
[236] Jeng-Han Tsai,et al. Design and Analysis of a 0.8–77.5-GHz Ultra-Broadband Distributed Drain Mixer Using 0.13- $\mu$ m CMOS Technology , 2009 .
[237] Mikko Varonen,et al. 60-GHz receiver and transmitter front-ends in 65-nm CMOS , 2009, 2009 IEEE MTT-S International Microwave Symposium Digest.
[238] Mikko Kärkkäinen,et al. V-band balanced resistive mixer in 65-nm CMOS , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.
[239] Theodore S. Rappaport,et al. Spatial and temporal characterization of 60 GHz indoor channels , 2000, Vehicular Technology Conference Fall 2000. IEEE VTS Fall VTC2000. 52nd Vehicular Technology Conference (Cat. No.00CH37152).
[240] Donald K. Weaver,et al. A Third Method of Generation and Detection of Single-Sideband Signals , 1956, Proceedings of the IRE.
[241] S. Gambini,et al. A 90 nm CMOS Low-Power 60 GHz Transceiver With Integrated Baseband Circuitry , 2009, IEEE Journal of Solid-State Circuits.
[242] Saska Lindfors,et al. A 60-GHz CMOS receiver with an on-chip ADC , 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.
[243] S. Glisic,et al. A Fully Differential 60 GHz Receiver Front-End with Integrated PLL in SiGe:C BiCMOS , 2006, 2006 European Microwave Integrated Circuits Conference.
[244] Thomas H. Lee,et al. The Design of CMOS Radio-Frequency Integrated Circuits: RF CIRCUITS THROUGH THE AGES , 2003 .
[245] Chih-Ming Hung,et al. High-Q capacitors implemented in a CMOS process for low-power wireless applications , 1998 .
[246] Simon Haykin,et al. Neural Networks: A Comprehensive Foundation , 1998 .
[247] R. Plana,et al. A Nonlinear Order-Reducing Behavioral Modeling Approach for Microwave Oscillators , 2009, IEEE Transactions on Microwave Theory and Techniques.
[248] Huei Wang,et al. Design and Analysis for a 60-GHz Low-Noise Amplifier With RF ESD Protection , 2009 .
[249] A. Mangan,et al. De-embedding transmission line measurements for accurate modeling of IC designs , 2006, IEEE Transactions on Electron Devices.
[250] Almudena Suarez,et al. Stability Analysis of Nonlinear Microwave Circuits , 2003 .
[251] Ali M. Niknejad,et al. A Robust 24mW 60GHz Receiver in 90nm Standard CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[252] William Shieh,et al. 60 GHz double-balanced up-conversion mixer on 130 nm CMOS technology , 2008 .