DozzNoC: Reducing Static and Dynamic Energy in NoCs with Low-latency Voltage Regulators using Machine Learning

Network-on-chips (NoCs) continues to be the choice of communication fabric in multicore architectures because the NoC effectively combines the resource efficiency of the bus with the parallelizability of the crossbar. As NoC suffers from both high static and dynamic energy consumption, power-gating and dynamic voltage and frequency scaling (DVFS) have been proposed in the literature to improve energy-efficiency. In this work, we propose DozzNoC, an adaptable power management technique that effectively combines power-gating and DVFS techniques to target both static power and dynamic energy reduction with a single inductor multiple output (SIMO) voltage regulator. The proposed power management design is further enhanced by machine learning techniques that predict future traffic load for proactive DVFS mode selection. DozzNoC utilizes a SIMO voltage regulator scheme that allows for fast, low-powered, and independently power-gated or voltage scaled routers such that each router and its outgoing links share the same voltage/frequency domain. Our simulation results using PARSEC and Splash-2 benchmarks on an 8 × 8 mesh network show that for a decrease of 7% in throughput, we can achieve an average dynamic energy savings of 25% and an average static power reduction of 53%.

[1]  Preeti Ranjan Panda,et al.  Machine Learned Machines: Adaptive co-optimization of caches, cores, and On-chip Network , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Paul M. Furth,et al.  A multi-loop low-dropout FVF voltage regulator with enhanced load regulation , 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).

[3]  Reetuparna Das,et al.  Power-aware NoCs through routing and topology reconfiguration , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[4]  Stephen W. Keckler,et al.  Segment gating for static energy reduction in networks-on-chip , 2009, 2009 2nd International Workshop on Network on Chip Architectures.

[5]  Chita R. Das,et al.  A case for dynamic frequency tuning in on-chip networks , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[6]  Natalie D. Enright Jerger,et al.  Improving DVFS in NoCs with Coherence Prediction , 2015, NOCS.

[7]  Stijn Eyerman,et al.  Fine-grained DVFS using on-chip regulators , 2011, TACO.

[8]  Lizhong Chen,et al.  NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[9]  Chi-Ying Tsui,et al.  Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode , 2003, IEEE J. Solid State Circuits.

[10]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[11]  Jian Sun,et al.  Deep Residual Learning for Image Recognition , 2015, 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[12]  Chen Sun,et al.  DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[13]  David R. Kaeli,et al.  Multi2Sim: A simulation framework for CPU-GPU computing , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[14]  Li Shang,et al.  Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links , 2002, IEEE Computer Architecture Letters.

[15]  Richard G. Hay Machine Learning Based DVFS for Energy Efficient Execution of Multithreaded Workloads , 2014 .

[16]  Massoud Pedram,et al.  Power punch: Towards non-blocking power-gating of NoC routers , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[17]  Mario R. Casu,et al.  Power-Gating Technique for Network-on-Chip Buffers , 2013 .

[18]  Sudhir K. Satpathy,et al.  Catnap: energy proportional multiple network-on-chip , 2013, ISCA.

[19]  Xi Chen,et al.  Dynamic voltage and frequency scaling for shared resources in multicore processor designs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Eby G. Friedman,et al.  Dynamic power management with power network-on-chip , 2014, 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS).

[21]  Radu Marculescu,et al.  Dynamic power management for multicores: Case study using the intel SCC , 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).

[22]  Mark Horowitz,et al.  1.1 Computing's energy problem (and what we can do about it) , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[23]  Radu Marculescu,et al.  An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[24]  Tajana Simunic,et al.  Dynamic voltage frequency scaling for multi-tasking systems using online learning , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[25]  Hao Shen,et al.  Learning based DVFS for simultaneous temperature, performance and energy management , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[26]  Christian Bienia,et al.  PARSEC 2.0: A New Benchmark Suite for Chip-Multiprocessors , 2009 .

[27]  Nitish Srivastava,et al.  Dropout: a simple way to prevent neural networks from overfitting , 2014, J. Mach. Learn. Res..

[28]  Sergey Ioffe,et al.  Batch Normalization: Accelerating Deep Network Training by Reducing Internal Covariate Shift , 2015, ICML.

[29]  Victor W. Lee,et al.  Voltage Regulator Efficiency Aware Power Management , 2017, ASPLOS.

[30]  Shaahin Hessabi,et al.  TooT: an efficient and scalable power-gating method for NoC routers , 2016, 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[31]  Diana Marculescu,et al.  Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[32]  Nasim Nasirian,et al.  Traffic-aware power-gating scheme for Network-on-Chip routers , 2016, 2016 IEEE Dallas Circuits and Systems Conference (DCAS).

[33]  Muhammad Shafique,et al.  darkNoC: Designing energy-efficient network-on-chip with multi-Vt cells for dark silicon , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[34]  Ren Wang,et al.  Energy-efficient interconnect via Router Parking , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[35]  Avinash Kodi,et al.  LEAD: Learning-enabled Energy-Aware Dynamic Voltage/frequency scaling in NoCs , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[36]  Lizhong Chen,et al.  MP3: Minimizing performance penalty for power-gating of Clos network-on-chip , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).