Optimizing RLC tree delays by employing repeater insertion

The effects of inductance on repeater insertion in RLC trees is the focus of this paper. An algorithm is introduced to insert and size buffers within an RLC tree to minimize a variety of possible cost functions such as minimizing the maximum path delay, the skew, or a combination of area, power, and delay. The algorithm has a complexity proportional to the square of the number of possible buffer positions and determines a buffer solution that is close to the global minimum. The buffer insertion algorithm is used to insert buffers within several copper-based interconnect trees to minimize the maximum path delay based on both an RC model and an RLC model. The two buffering solutions are compared using the AS/X dynamic circuit simulator. It is shown that as inductance effects increase, the area and power consumed by the inserted buffers to minimize the path delays of an RLC tree decreases. By including inductance in the repeater insertion methodology, the interconnect is modeled more accurately as compared to an RC model, permitting average savings in area, power, and delay of 40.8%, 15.6%, and 6.7%, respectively, for a variety of copper-based interconnect trees for a 0.25 /spl mu/m CMOS technology. The average savings in area, power, and delay increases to 62.2%, 57.2%, and 9.4%, respectively, when using five times faster devices with the same interconnect trees.

[1]  E. Friedman,et al.  Figures of merit to characterize the importance of on-chip inductance , 1998, DAC.

[2]  J.D. Meindl,et al.  Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.

[3]  Eby G. Friedman,et al.  Repeater design to reduce delay and power in resistive interconnect , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

[4]  Charles J. Alpert,et al.  Wire segmenting for improved buffer insertion , 1997, DAC.

[5]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[6]  Yehea I. Ismail,et al.  Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[7]  Yehea Ismail,et al.  Optimum repeater insertion based on a CMOS delay model for on-chip RLC interconnect , 1998, Proceedings Eleventh Annual IEEE International ASIC Conference (Cat. No.98TH8372).

[8]  Keith A. Jenkins,et al.  When are transmission-line effects important for on-chip interconnections? , 1997 .

[9]  T. Sakurai,et al.  Approximation of wiring delay in MOSFET LSI , 1983, IEEE Journal of Solid-State Circuits.

[10]  Alina Deutsch,et al.  Designing the best clock distribution network , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[11]  Hendrikus J. M. Veendrick,et al.  Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .

[12]  Yehea I. Ismail,et al.  Equivalent Elmore delay for RLC trees , 1999, DAC '99.

[13]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[14]  Eby G. Friedman,et al.  Dynamic and short-circuit power of CMOS gates driving lossless transmission lines , 1998 .