Enhancing Performance Sustainability of Fault Tolerant Routing Algorithms in NoC-Based Architectures
暂无分享,去创建一个
Hannu Tenhunen | Pasi Liljeberg | Amir-Mohammad Rahmani | Kameswar Rao Vaddina | Tiberiu Seceleanu | Khalid Latif
[1] Cristian Constantinescu,et al. Trends and Challenges in VLSI Circuit Reliability , 2003, IEEE Micro.
[2] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Luigi Carro,et al. Dependable Network-on-Chip Router Able to Simultaneously Tolerate Soft Errors and Crosstalk , 2006, 2006 IEEE International Test Conference.
[4] Mahmood Fathy,et al. A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.
[5] David Blaauw,et al. A highly resilient routing algorithm for fault-tolerant NoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[6] Hannu Tenhunen,et al. Research and practices on 3D networks-on-chip architectures , 2010, NORCHIP 2010.
[7] Teijo Lehtonen. On Fault Tolerance Methods for Networks-on-Chip , 2009 .
[8] Luigi Carro,et al. Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router , 2009, SBCCI.
[9] Hannu Tenhunen,et al. Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[10] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2007, IEEE Trans. Very Large Scale Integr. Syst..
[11] Simon W. Moore,et al. Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[12] Pasi Liljeberg,et al. Self-timed thermal sensing and monitoring of multicore systems , 2009, 2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.
[13] Pasi Liljeberg,et al. Fault Tolerance Analysis of NoC Architectures , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[14] Chun-Hsian Huang,et al. Learning-based adaptation to applications and environments in a reconfigurable network-on-chip , 2010, DATE 2010.
[15] Luca Benini,et al. Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.
[16] Axel Jantsch,et al. Networks on chip , 2003 .
[17] TingTing Hwang,et al. TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[18] Alain Greiner,et al. A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[19] Zeljko Zilic,et al. Reliability aware NoC router architecture using input channel buffer sharing , 2009, GLSVLSI '09.
[20] Hossein Pedram,et al. Investigation of Transient Fault Effects in an Asynchronous NoC Router , 2010, 2010 18th Euromicro Conference on Parallel, Distributed and Network-based Processing.
[21] Donghyun Kim,et al. A reconfigurable crossbar switch with adaptive bandwidth control for networks-on-chip , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[22] Masoud Daneshtalab,et al. EDXY - A low cost congestion-aware routing algorithm for network-on-chips , 2010, J. Syst. Archit..
[23] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[24] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[25] Nikil Dutt,et al. On-Chip Communication Architectures: System on Chip Interconnect , 2008 .
[26] Massoud Pedram,et al. A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution , 2009, J. Low Power Electron..