The case for a single-chip multiprocessor
暂无分享,去创建一个
Kunle Olukotun | Basem A. Nayfeh | Lance Hammond | Kenneth G. Wilson | Kunyung Chang | K. Olukotun | Lance Hammond | B. A. Nayfeh | Kenneth G. Wilson | Kunyung Chang
[1] James E. Thomton,et al. Parallel Operation in the Control Data 6600 , 1899 .
[2] Anoop Gupta,et al. The impact of architectural trends on operating system performance , 1995, SOSP.
[3] Norman P. Jouppi,et al. Computer technology and architecture: an evolving interaction , 1991, Computer.
[4] S. McFarling. Combining Branch Predictors , 1993 .
[5] Anoop Gupta,et al. Complete computer system simulation: the SimOS approach , 1995, IEEE Parallel Distributed Technol. Syst. Appl..
[6] K. Olukotun,et al. Evaluation of Design Alternatives for a Multiprocessor Microprocessor , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).
[7] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[8] J. Lotz,et al. A quad-issue out-of-order RISC CPU , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[9] Chau-Wen Tseng,et al. An Overview of the SUIF Compiler for Scalable Parallel Machines , 1995, PPSC.
[10] Kenneth C. Yeager. The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.
[11] Mike Johnson,et al. Superscalar microprocessor design , 1991, Prentice Hall series in innovative technology.
[12] Saman Amarasinghe. Hot compilers for future hot chips , 1995 .
[13] David A. Patterson,et al. Computer Architecture - A Quantitative Approach, 5th Edition , 1996 .
[14] David W. Wall,et al. Limits of instruction-level parallelism , 1991, ASPLOS IV.
[15] David A. Patterson,et al. Computer architecture (2nd ed.): a quantitative approach , 1996 .
[16] Norman P. Jouppi,et al. Register file design considerations in dynamically scheduled processors , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.
[17] Gurindar S. Sohi,et al. High-bandwidth data memory systems for superscalar processors , 1991, ASPLOS IV.
[18] John H. Zurawski,et al. The Design and Verification of the AlphaStation 600 5-series Workstation , 1995, Digit. Tech. J..
[19] Todd C. Mowry,et al. Compiler-directed page coloring for multiprocessors , 1996, ASPLOS VII.
[20] Soha Hassoun,et al. A 200-MHz 64-bit Dual-Issue CMOS Microprocessor , 1992, Digit. Tech. J..
[21] Burzin A. Patel,et al. Optimization of instruction fetch mechanisms for high issue rates , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.
[22] Burton M. Leary,et al. A 200 MHz 64 b dual-issue CMOS microprocessor , 1992, 1992 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[23] David W. Anderson,et al. The IBM System/360 model 91: machine philosophy and instruction-handling , 1967 .
[24] John H. Wuorinen. 1993 IEEE International Solid-State Circuits Conference : digest of technical papers , 1993 .
[25] John K. Ousterhout,et al. Why Aren't Operating Systems Getting Faster As Fast as Hardware? , 1990, USENIX Summer.
[26] J. E. Thornton,et al. Parallel operation in the control data 6600 , 1964, AFIPS '64 (Fall, part II).