Time-division-multiplexed arbitration in silicon nanophotonic networks-on-chip for high-performance chip multiprocessors
暂无分享,去创建一个
Luca P. Carloni | Gilbert Hendry | Johnnie Chan | Keren Bergman | Nadya T. Bliss | Eric Robinson | Vitaliy Gleyzer | K. Bergman | L. Carloni | N. Bliss | J. Chan | Vitaliy Gleyzer | G. Hendry | Eric Robinson
[1] M. Watts,et al. Ultralow power silicon microdisk modulators and switches , 2008, 2008 5th IEEE International Conference on Group IV Photonics.
[2] M. Lipson,et al. CMOS-compatible athermal silicon microring resonators. , 2009, Optics express.
[3] Fengnian Xia,et al. Ultrahigh-Bandwidth WDM Signal Integrity in Silicon-on-Insulator Nanowire Waveguides , 2007, LEOS 2007 - IEEE Lasers and Electro-Optics Society Annual Meeting Conference Proceedings.
[4] Michal Lipson,et al. Broadband hitless silicon electro-optic switch for on-chip optical networks. , 2009, Optics express.
[5] A. Biberman,et al. Ultrahigh-Bandwidth Silicon Photonic Nanowire Waveguides for On-Chip Networks , 2008, IEEE Photonics Technology Letters.
[6] M. Lipson,et al. Integrated GHz silicon photonic interconnect with micrometer-scale modulators and detectors. , 2009, Optics express.
[7] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[8] Kees Goossens,et al. AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.
[9] David H. Albonesi,et al. Phastlane: a rapid transit optical routing network , 2009, ISCA '09.
[10] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[11] Christer Svensson,et al. Timing closure through a globally synchronous, timing partitioned design methodology , 2004, Proceedings. 41st Design Automation Conference, 2004..
[12] Benjamin G. Lee,et al. All-Optical Comb Switch for Multiwavelength Message Routing in Silicon Photonic Networks , 2008, IEEE Photonics Technology Letters.
[13] Peter J Heard,et al. Integrated Photonics and Nanophotonics Research and Applications , 2009 .
[14] R. Bond,et al. pMapper: Automatic Mapping of Parallel Matlab Programs , 2005, 2005 Users Group Conference (DOD-UGC'05).
[15] Prabhat Kumar,et al. Exploring concentration and channel slicing in on-chip network router , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[16] G. G. Stokes. "J." , 1890, The New Yale Book of Quotations.
[17] Luca P. Carloni,et al. Circuit-Switched Memory Access in Photonic Interconnection Networks for High-Performance Embedded Computing , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
[18] Christopher Batten,et al. Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[19] Axel Jantsch,et al. Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[20] Axel Jantsch,et al. TDM Virtual-Circuit Configuration for Network-on-Chip , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[21] M. Lipson,et al. Compact bandwidth tunable microring resonators , 2007, 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science.
[22] Qianfan Xu,et al. Cascaded silicon micro-ring modulators for WDM optical interconnection. , 2006, Optics express.
[23] Luca P. Carloni,et al. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.
[24] N. Bliss,et al. PVTOL: Providing Productivity, Performance and Portability to DoD Signal Processing Applications on Multicore Processors , 2008, 2008 DoD HPCMP Users Group Conference.
[25] Leonid Oliker,et al. Silicon Nanophotonic Network-on-Chip Using TDM Arbitration , 2010, 2010 18th IEEE Symposium on High Performance Interconnects.
[26] Michael F. P. O'Boyle,et al. Portable compiler optimisation across embedded programs and microarchitectures using machine learning , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[27] Luca P. Carloni,et al. Design Exploration of Optical Interconnection Networks for Chip Multiprocessors , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[28] R. Baets,et al. Focused-Ion-Beam Fabrication of Slanted Grating Couplers in Silicon-on-Insulator Waveguides , 2007, IEEE Photonics Technology Letters.
[29] Kazumi Wada,et al. On-chip optical clocking signal distribution , 2003 .
[30] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[31] Gunther Roelkens,et al. Continuous-wave lasing from DVS-BCB heterogeneously integrated laser diodes , 2007 .
[32] Leonid Oliker,et al. Analysis of photonic networks for a chip multiprocessor using scientific applications , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[33] Hermann Kopetz,et al. Concepts of Switching in the Time-Triggered Network-on-Chip , 2008, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.
[34] K. Nishi,et al. Waveguide-integrated Si nano-photodiode with surface-plasmon antenna and its application to on-chip optical clock signal distribution , 2008 .
[35] Toshihiko Baba,et al. Low Loss Intersection of Si Photonic Wire Waveguides , 2004 .
[36] Alyssa B. Apsel,et al. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[37] Christopher Batten,et al. Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[38] Edwin V. Bonilla,et al. Predicting best design trade-offs: A case study in processor customization , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[39] F. Xia,et al. Ultracompact optical buffers on a silicon chip , 2007 .
[40] Luca P. Carloni,et al. PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[41] Martin Schoeberl,et al. A Time-Triggered Network-on-Chip , 2007, 2007 International Conference on Field Programmable Logic and Applications.
[42] Pierpaolo Boffi,et al. The ring-based optical Resonant Router , 2006, 2006 IEEE International Conference on Communications.