Dominant factors in TDDB degradation of Cu interconnects

The field acceleration factor (/spl gamma/) for the E-model of time-dependent dielectric breakdown (TDDB) in various Cu interconnect structures has been studied. The /spl gamma/ for pSiCN structures is larger than that of pSiN structures and independent of the kind of interlayer dielectric material or other processes used to make it. The relationship between the breakdown electric field strength (E/sub BD/) and the TDDB lifetime has been investigated. It has been demonstrated that the TDDB lifetime can be predicted from experimentally measured E/sub BD/ and /spl gamma/. An E/sub BD/ of at least 4.2 MV/cm is necessary to assure ten-year reliability under 0.2 MV/cm operation. Moreover, the important factors influencing the TDDB lifetime for Cu interconnects have been discussed. These include the Cu chemical-mechanical polishing (CMP), the post-CMP annealing, line edge roughness, and fine line effect.

[1]  K. Sato,et al.  Integration and reliability issues of Cu/SiOC interconnect for ArF/90 nm node SoC manufacturing , 2003, IEEE International Electron Devices Meeting 2003.

[2]  J. Yuan,et al.  Modeling of time-dependent dielectric breakdown in copper metallization , 2003 .

[3]  K. Makabe,et al.  Cu-ion-migration phenomena and its influence on TDDB lifetime in Cu metallization , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[4]  E. Murakami,et al.  Suppression of stress-induced voiding in copper interconnects , 2002, Digest. International Electron Devices Meeting,.

[5]  Kenichi Takeda,et al.  Effect of NH/sub 3/-plasma treatment and CMP modification on TDDB improvement in Cu metallization , 2001 .

[6]  K. Takeda,et al.  New dielectric barrier for damascene Cu interconnection: trimethoxysilane-based SiO/sub 2/ film with k=3.9 , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[7]  K. Hinode,et al.  Impact of low-k dielectrics and barrier metals on TDDB lifetime of Cu interconnects , 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).

[8]  W. Mckee,et al.  Leakage and breakdown reliability issues associated with low-k dielectrics in a dual-damascene Cu process , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[9]  Kenji Hinode,et al.  TDDB improvement in Cu metallization under bias stress , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[10]  J. McPherson,et al.  UNDERLYING PHYSICS OF THE THERMOCHEMICAL E MODEL IN DESCRIBING LOW-FIELD TIME-DEPENDENT DIELECTRIC BREAKDOWN IN SIO2 THIN FILMS , 1998 .

[11]  K. Takeda,et al.  Enhanced dielectric breakdown lifetime of the copper/silicon nitride/silicon dioxide structure , 1998, 1998 IEEE International Reliability Physics Symposium Proceedings. 36th Annual (Cat. No.98CH36173).

[12]  M. Kimura,et al.  Oxide breakdown mechanism and quantum physical chemistry for time-dependent dielectric breakdown , 1997, 1997 IEEE International Reliability Physics Symposium Proceedings. 35th Annual.

[13]  Chien Chiang,et al.  Diffusion of copper through dielectric films under bias temperature stress , 1995 .

[14]  J. McPherson,et al.  Acceleration Factors for Thin Gate Oxide Stressing , 1985, 23rd International Reliability Physics Symposium.