A Novel TDMA-Based Fault Tolerance Technique for the TSVs in 3D-ICs Using Honeycomb Topology

Through-silicon-vias (TSVs) are prone to defects during the manufacturing process, which pose yield challenges for three dimensional integrated circuits (3D-ICs). The area per TSV is too great to be ignored, and in order to not use any redundant TSVs, a chain-type time division multiplexing access (TDMA)-based fault tolerance technique is proposed. However, a double-TSV structure is used per group, resulting in a significant TSV hardware overhead under a given large-scaled circuit design. Furthermore, it is impossible for the chain-TDMA scheme to plan the rerouting path for the right-hand-most TSV per group, resulting in a decrease in the repair rate per TSV group as well as in the whole TSV yield. In the proposed technique, we bundle six TSVs per group in a honeycomb pattern and the TSVs on the edges are connected to each other, enhancing the repair rate per group as well as the whole TSV yield. Subsequently, an architecture based on the proposed technique is designed, evaluated, and validated on logic-on-logic 3D IWLS’05 benchmark circuits using 45 nm TSMC technology. The proposed technique is found to reduce the area overhead by 87.95–90.42 percent, compared to the chain-TDMA scheme, which results in a yield of 96.90–99.09 percent.

[1]  Sung Kyu Lim,et al.  Pre-Bond and Post-Bond Test and Signal Recovery Structure to Characterize and Repair TSV Defect Induced Signal Degradation in 3-D System , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[2]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[3]  TingTing Hwang,et al.  TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Bashir M. Al-Hashimi,et al.  Cost-Effective TSV Grouping for Yield Improvement of 3D-ICs , 2011, 2011 Asian Test Symposium.

[5]  Qiang Xu,et al.  On effective TSV repair for 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  Yiyu Shi,et al.  Novel Spare TSV Deployment for 3-D ICs Considering Yield and Timing Constraints , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Krishnendu Chakrabarty,et al.  Scan Test of Die Logic in 3-D ICs Using TSV Probing , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Huaguo Liang,et al.  Pulse shrinkage based pre-bond through silicon vias test in 3D IC , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

[9]  Ying Wang,et al.  A QoS-QoR Aware CNN Accelerator Design Approach , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Krishnendu Chakrabarty,et al.  Contactless Pre-Bond TSV Test and Diagnosis Using Ring Oscillators and Multiple Voltage Levels , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Luca Benini,et al.  A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[12]  Spyros Kotoulas,et al.  Design and evaluation of small-large outer joins in cloud computing environments , 2017, J. Parallel Distributed Comput..

[13]  Xiaoqing Wen,et al.  Vernier ring based pre-bond through silicon vias test in 3D ICs , 2017, IEICE Electron. Express.

[14]  TingTing Hwang,et al.  Architecture of Ring-Based Redundant TSV for Clustered Faults , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Shi-Yu Huang,et al.  Programmable Leakage Test and Binning for TSVs With Self-Timed Timing Control , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Amit Acharyya,et al.  A Cost-Effective Fault Tolerance Technique for Functional TSV in 3-D ICs , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Bashir M. Al-Hashimi,et al.  Online Fault Tolerance Technique for TSV-Based 3-D-IC , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Shi-Yu Huang,et al.  PLL-Assisted Timing Circuit for Accurate TSV Leakage Binning , 2014, IEEE Design & Test.

[19]  Shi-Yu Huang,et al.  Oscillation-Based Prebond TSV Test , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Spyros Kotoulas,et al.  Fast Compression of Large Semantic Web Data Using X10 , 2016, IEEE Transactions on Parallel and Distributed Systems.

[21]  Eby G. Friedman,et al.  Hexagonal TSV Bundle Topology for 3-D ICs , 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

[22]  Sungho Kang,et al.  R2-TSV: A Repairable and Reliable TSV Set Structure Reutilizing Redundancies , 2017, IEEE Transactions on Reliability.

[23]  Qiang Xu,et al.  On Effective Through-Silicon Via Repair for 3-D-Stacked ICs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.