SystemVerilogCSP: Modeling Digital Asynchronous Circuits Using SystemVerilog Interfaces

This paper describes how to model channel-based digital asynchronous circuits using SystemVerilog interfaces that implement CSP-like communication events. The interfaces enable explicit handshaking of channel wires as well as abstract CSP events. This enables abstract connections between modules that are described at different levels of abstraction facilitating both verification and design. We explain how to model one-to-one, one-to-many, one-to-any, any-to-one and synchronised channels. Moreover, we describe how to split communication actions into multiple parts to model more accurately less concurrent handshaking protocols that are commonly found in many asynchronous pipelines.

[1]  Ivan E. Sutherland,et al.  Micropipelines , 1989, Commun. ACM.

[2]  David Fang,et al.  Profiling Infrastructure for the Performance Evaluation of Asynchronous Systems , 2008 .

[3]  Peter H. Welch,et al.  Communicating Mobile Processes : Introducing occam-pi , 2005 .

[4]  Peter A. Beerel,et al.  A Designer's Guide to Asynchronous VLSI , 2010 .

[5]  Marly Roncken,et al.  The VLSI-programming language Tangram and its translation into handshake circuits , 1991, Proceedings of the European Conference on Design Automation..

[6]  Kiyoshi Oguri,et al.  Asynchronous Circuit Design , 2001 .

[7]  Alain J. Martin Synthesis of Asynchronous VLSI Circuits , 1991 .

[8]  Steve Furber,et al.  Principles of Asynchronous Circuit Design: A Systems Perspective , 2010 .

[9]  Wilson Ifill,et al.  Integrating and Extending JCSP , .

[10]  Marc Renaudin,et al.  Timed Asynchronous Circuits Modeling and Validation Using SystemC , 2008 .

[11]  Stephen B. Furber,et al.  Modelling and Simulation of Asynchronous Systems Using the LARD Hardware Description Language , 1998, ESM.

[12]  Samir Palnitkar,et al.  Verilog HDL , 2003 .

[13]  Arash Saifhashemi,et al.  High Level Modeling of Channel-Based Asynchronous Circuits Using Verilog , 2005, CPA.

[14]  Jens Sparsø,et al.  A Channel Library for Asynchronous Circuit Design Supporting Mixed-Mode Modeling , 2004, PATMOS.

[15]  Erik Brunvand,et al.  ARCS: an architectural level communication driven simulator , 2004, GLSVLSI '04.

[16]  Arash Saifhashemi,et al.  Verilog HDL, powered by PLI: a suitable framework for describing and modeling asynchronous circuits at all levels of abstraction , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[17]  Edsger W. Dijkstra,et al.  A Discipline of Programming , 1976 .

[18]  Gianfranco Bonanome Hardware Description Languages Compared : Verilog and SystemC , 2001 .

[19]  Erik Brunvand,et al.  ASIM-An Asynchronous Architectural Level Simulator , 2004 .

[20]  C. A. R. Hoare,et al.  Communicating sequential processes , 1978, CACM.