The Predictive Technology Model in the Late Silicon Era and Beyond
暂无分享,去创建一个
Yu Cao | Wei Zhao | Saurabh Sinha | Wenping Wang | Asha Balijepalli | Chi-Chao Wang | A. Balijepalli | Yu Cao | Wei Zhao | Wenping Wang | S. Sinha | Chi-Chao Wang
[1] C. Hu,et al. A comparative study of advanced MOSFET concepts , 1996 .
[2] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[3] Ali Javey,et al. Carbon Nanotubes: From Growth, Placement and Assembly Control to 60mV/decade and Sub-60 mV/decade Tunnel Transistors , 2006, 2006 International Electron Devices Meeting.
[4] S. Rauch,et al. Review and Reexamination of Reliability Effects Related to NBTI-Induced Statistical Variations , 2007, IEEE Transactions on Device and Materials Reliability.
[5] S.S. Mahant-Shetti,et al. Statistical Modeling for Efficient Parametric Yield Estimation of MOS VLSI Circuits , 1985, IEEE Journal of Solid-State Circuits.
[6] Ogawa,et al. Generalized diffusion-reaction model for the low-field charge-buildup instability at the Si-SiO2 interface. , 1995, Physical review. B, Condensed matter.
[7] Kaushik Roy,et al. A circuit-compatible model of ballistic carbon nanotube field-effect transistors , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[8] S. P. Park,et al. Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance , 2007, ICCAD 2007.
[9] J. Fossum,et al. Comparison of threshold-voltage shifts for uniaxial and biaxial tensile-stressed n-MOSFETs , 2004, IEEE Electron Device Letters.
[10] K. Jeppson,et al. Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices , 1977 .
[11] A. F. Tasch,et al. Universal MOSFET hole mobility degradation models for circuit simulation , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[12] E.J. Nowak,et al. Modeling of Variation in Submicrometer CMOS ULSI Technologies , 2006, IEEE Transactions on Electron Devices.
[13] A. Toriumi,et al. Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's , 1994 .
[14] P. Avouris,et al. High-performance dual-gate carbon nanotube FETs with 40-nm gate length , 2005, IEEE Electron Device Letters.
[15] Yu Cao,et al. New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
[16] Yu Cao,et al. The Impact of NBTI on the Performance of Combinational and Sequential Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[17] Rob A. Rutenbar,et al. Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS , 2008, Proceedings of the IEEE.
[18] R. Wong,et al. Impact of NBTI Induced Statistical Variation to SRAM Cell Stability , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.
[19] Min Chen,et al. Fast statistical circuit analysis with finite-point based transistor model , 2007 .
[20] H. Wong,et al. Carbon Nanotube Transistor Circuits - Models and Tools for Design and Performance Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[21] T. Skotnicki,et al. Nonuniform Mobility-Enhancement Techniques and Their Impact on Device Performance , 2008, IEEE Transactions on Electron Devices.
[22] T. Ghani,et al. A high performance 0.25 /spl mu/m logic technology optimized for 1.8 V operation , 1996, International Electron Devices Meeting. Technical Digest.
[23] T. Sugii,et al. High performance 25 nm gate CMOSFETs for 65 nm node high speed MPUs , 2003, IEEE International Electron Devices Meeting 2003.
[24] K. Roy,et al. Carbon Nanotube Field-Effect Transistors for High-Performance Digital Circuits—DC Analysis and Modeling Toward Optimum Transistor Structure , 2006, IEEE Transactions on Electron Devices.
[25] K. Yamaguchi,et al. The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).
[26] M. Weybright,et al. High performance and low power transistors integrated in 65nm bulk CMOS technology , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[27] M.B. Ketchen,et al. Compact modeling of FinFETs featuring independent-gate operation mode , 2005, IEEE VLSI-TSA International Symposium on VLSI Technology, 2005. (VLSI-TSA-Tech)..
[28] S. Takahashi,et al. A 45nm High Performance Bulk Logic Platform Technology (CMOS6) using Ultra High NA(1.07) Immersion Lithography with Hybrid Dual-Damascene Structure and Porous Low-k BEOL , 2006, 2006 International Electron Devices Meeting.
[29] S. Mahapatra,et al. Gate Leakage vs. NBTI in Plasma Nitrided Oxides: Characterization, Physical Principles, and Optimization , 2006, 2006 International Electron Devices Meeting.
[30] M. C. Jeng,et al. A physical model for MOSFET output resistance , 1992, 1992 International Technical Digest on Electron Devices Meeting.
[31] S. Hareland,et al. Tri-Gate fully-depleted CMOS transistors: fabrication, design and layout , 2003, 2003 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.03CH37407).
[32] Yu Cao,et al. New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.
[33] Suman Datta,et al. High- /Metal-Gate Stack and Its MOSFET Characteristics , 2004 .
[34] Yu Cao,et al. Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology , 2007, IEEE Transactions on Device and Materials Reliability.
[35] M.A. Alam,et al. A critical examination of the mechanics of dynamic NBTI for PMOSFETs , 2003, IEEE International Electron Devices Meeting 2003.
[36] J. Plusquellic,et al. A test structure for characterizing local device mismatches , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[37] S. Natarajan,et al. Impact of negative bias temperature instability on digital circuit reliability , 2002, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320).
[38] A. F. Tasch,et al. A universal MOSFET mobility degradation model for circuit simulation , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[39] I. Chen,et al. A sub-0.1 /spl mu/m gate length CMOS technology for high performance (1.5 V) and low power (1.0 V) , 1996, International Electron Devices Meeting. Technical Digest.
[40] Anantha Chandrakasan,et al. Models of Process Variations in Device and Interconnect , 2001 .
[41] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[42] D. Schroder,et al. Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing , 2003 .
[43] Sani R. Nassif,et al. Models of process variations in device and interconnect , 2000 .
[44] H. Wong,et al. Fabrication and Characterization of Carbon Nanotube Interconnects , 2007, 2007 IEEE International Electron Devices Meeting.
[45] Bo Yang,et al. Statistical prediction of circuit aging under process variations , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[46] X. Garros,et al. Characterization and modeling of hysteresis phenomena in high K dielectrics , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[47] Sani R. Nassif,et al. The care and feeding of your statistical static timer , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[48] S.E. Thompson,et al. Mobility enhancement , 2005, IEEE Circuits and Devices Magazine.
[49] B.C. Paul,et al. Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.
[50] Muhammad Ashraful Alam,et al. A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..
[51] Chenming Hu,et al. 35nm CMOS FinFETs , 2002 .
[52] Jean-Olivier Plouchart,et al. CMOS Mixed-Signal Circuit Process Variation Sensitivity Characterization for Yield Improvement , 2006, IEEE Custom Integrated Circuits Conference 2006.
[53] G. Bouche,et al. Accurate modeling of trench isolation induced mechanical stress effects on MOSFET electrical performance , 2002, Digest. International Electron Devices Meeting,.
[54] R. Chau,et al. A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging , 2007, 2007 IEEE International Electron Devices Meeting.
[55] I. Chen,et al. A 0.10 /spl mu/m gate length CMOS technology with 30 /spl Aring/ gate dielectric for 1.0 V-1.5 V applications , 1997, International Electron Devices Meeting. IEDM Technical Digest.
[56] D. Kwong,et al. Dynamic NBTI of PMOS transistors and its impact on device lifetime , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[57] Conal E. Murray,et al. Mechanics of edge effects in anisotropic thin film∕substrate systems , 2006 .
[58] S. Rauch. The statistics of NBTI-induced V/sub T/ and /spl beta/ mismatch shifts in pMOSFETs , 2002 .
[59] H. Wong,et al. A Circuit-Compatible SPICE model for Enhancement Mode Carbon Nanotube Field Effect Transistors , 2006, 2006 International Conference on Simulation of Semiconductor Processes and Devices.
[60] P. Nicollian,et al. Material dependence of hydrogen diffusion: implications for NBTI degradation , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[61] Yu Cao,et al. Compact modeling of carbon nanotube transistor for early stage process-design exploration , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[62] Costas J. Spanos,et al. Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[63] H. Wong,et al. Analysis of the Frequency Response of Carbon Nanotube Transistors , 2006, IEEE Transactions on Nanotechnology.
[64] F. Danneville,et al. Compact-Modeling Solutions For Nanoscale Double-Gate and Gate-All-Around MOSFETs , 2006, IEEE Transactions on Electron Devices.
[65] P. McEuen,et al. Electron-Phonon Scattering in Metallic Single-Walled Carbon Nanotubes , 2003, cond-mat/0309641.
[66] Jeffrey Bokor,et al. Extremely scaled silicon nano-CMOS devices , 2003, Proc. IEEE.
[67] Yu Cao,et al. Scalable model for predicting the effect of negative bias temperature instability for reliable design , 2008, IET Circuits Devices Syst..
[68] J. An,et al. Physical insights on design and modeling of nanoscale FinFETs , 2003, IEEE International Electron Devices Meeting 2003.
[69] Min Chen,et al. Fast Statistical Circuit Analysis with Finite-Point Based Transistor Model , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[70] G. Gildenblat,et al. PSP: An Advanced Surface-Potential-Based MOSFET Model for Circuit Simulation , 2006, IEEE Transactions on Electron Devices.
[71] Wei Jin,et al. High performance 50 nm CMOS devices for microprocessor and embedded processor core applications , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).
[72] J.S. Suehle,et al. Mechanism of dynamic NBTI of pMOSFETs , 2004, IEEE International Integrated Reliability Workshop Final Report, 2004.
[73] H. Wong,et al. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region , 2007, IEEE Transactions on Electron Devices.
[74] R. Rooyackers,et al. Scalability of the Si/sub 1-x/Ge/sub x/ source/drain technology for the 45-nm technology node and beyond , 2006, IEEE Transactions on Electron Devices.
[75] H. Ohta,et al. High performance 30 nm gate bulk CMOS for 45 nm node with /spl Sigma/-shaped SiGe-SD , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[76] D. Lea,et al. High speed 45nm gate length CMOSFETs integrated into a 90nm bulk technology incorporating strain engineering , 2003, IEEE International Electron Devices Meeting 2003.
[77] M. Rodder,et al. A 1.2 V, 0.1 /spl mu/m gate length CMOS technology: design and process issues , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[78] K. Kim,et al. 60 nm gate length dual-Vt CMOS for high performance applications , 2002, 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303).
[79] Phaedon Avouris,et al. The role of metal-nanotube contact in the performance of carbon nanotube field-effect transistors. , 2005, Nano letters.
[80] Colin C. McAndrew,et al. Device Correlation: Modeling using Uncorrelated Parameters, Characterization Using Ratios and Differences , 2006 .
[81] C. Herring,et al. Transport and Deformation-Potential Theory for Many-Valley Semiconductors with Anisotropic Scattering , 1956 .
[82] Yang-Kyu Choi,et al. 35 nm CMOS FinFETs , 2002, 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303).
[83] E. Nowak,et al. High-performance symmetric-gate and CMOS-compatible V/sub t/ asymmetric-gate FinFET devices , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).
[84] P. McEuen,et al. Single-walled carbon nanotube electronics , 2002 .
[85] Liang-Teck Pang,et al. Impact of Layout on 90nm CMOS Process Parameter Fluctuations , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[86] D. Acharyya,et al. Rigorous Extraction of Process Variations for 65-nm CMOS Design , 2009, IEEE Transactions on Semiconductor Manufacturing.
[87] Kaushik Roy,et al. Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[88] T. Sanuki,et al. Variability aware modeling and characterization in standard cell in 45 nm CMOS with stress enhancement technique , 2008, 2008 Symposium on VLSI Technology.
[89] Yu Cao,et al. Predictive Technology Model for Nano-CMOS Design Exploration , 2006, 2006 1st International Conference on Nano-Networks and Workshops.
[90] Anantha Chandrakasan,et al. A Test-Structure to Efficiently Study Threshold-Voltage Variation in Large MOSFET Arrays , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[91] C. Machala,et al. Predictive BSIM3v3 modeling for the 0.15-0.18 /spl mu/m CMOS technology node: a process DOE based approach , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).
[92] V. Huard,et al. Hole trapping effect on methodology for DC and AC negative bias temperature instability measurements in PMOS transistors , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.
[93] Colin C. McAndrew,et al. Statistical modeling for circuit simulation , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..
[94] D. Mocuta,et al. High performance 65 nm SOI technology with dual stress liner and low capacitance SRAM cell , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[95] H. Puchner,et al. NBTI reliability analysis for a 90 nm CMOS technology , 2004, Proceedings of the 30th European Solid-State Circuits Conference (IEEE Cat. No.04EX850).
[96] H.-S. Philip Wong,et al. First Demonstration of AC Gain From a Single-walled Carbon Nanotube Common-Source Amplifier , 2006, 2006 International Electron Devices Meeting.
[97] Xuemei Xi,et al. A scaleable model for STI mechanical stress effect on layout dependence of MOS electrical characteristics , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[98] Yu Cao,et al. Predictive technology modeling for 32nm low power design , 2007, 2007 International Semiconductor Device Research Symposium.
[99] Edward J. Nowak,et al. High-performance sub-0.08 /spl mu/m CMOS with dual gate oxide and 9.7 ps inverter delay , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[100] Mitsuru Hiraki,et al. Pre-silicon parameter generation methodology using BSIM3 for circuit performance-oriented device optimization , 2001 .
[101] J. Fossum,et al. On the threshold Voltage of strained-Si-Si/sub 1-x/Ge/sub x/ MOSFETs , 2005, IEEE Transactions on Electron Devices.
[102] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[103] Ananth Somayaji Goda,et al. Design for degradation: CAD tools for managing transistor degradation mechanisms , 2005, Sixth international symposium on quality electronic design (isqed'05).
[104] J. Kavalieros,et al. High-/spl kappa//metal-gate stack and its MOSFET characteristics , 2004, IEEE Electron Device Letters.
[105] D.A. Antoniadis,et al. Transistor Performance Scaling: The Role of Virtual Source Velocity and Its Mobility Dependence , 2006, 2006 International Electron Devices Meeting.
[106] Mark S. Lundstrom,et al. A numerical study of scaling issues for Schottky-barrier carbon nanotube transistors , 2003, IEEE Transactions on Electron Devices.
[107] D. Jimenez,et al. A simple drain current model for Schottky-barrier carbon nanotube field effect transistors , 2007 .
[108] M. Hussein,et al. A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[109] Sani R. Nassif,et al. Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[110] J.C. Chen,et al. E-T based statistical modeling and compact statistical circuit simulation methodologies , 1996, International Electron Devices Meeting. Technical Digest.
[111] D. Chidambarrao,et al. Strain effects on device characteristics: Implementation in drift-diffusion simulators , 1993 .
[112] Michael Orshansky,et al. Efficient generation of pre-silicon MOS model parameters for early circuit design , 2001 .
[113] N. Mielke,et al. Universal recovery behavior of negative bias temperature instability [PMOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.
[114] M. Liang,et al. A 90-nm CMOS device technology with high-speed, general-purpose, and low-leakage transistors for system on chip applications , 2002, Digest. International Electron Devices Meeting,.
[115] Chenming Hu,et al. Modeling Advanced FET Technology in a Compact Model , 2006, IEEE Transactions on Electron Devices.
[116] V. Reddy,et al. A comprehensive framework for predictive modeling of negative bias temperature instability , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.
[117] Mark S. Lundstrom,et al. Nanoscale Transistors: Device Physics, Modeling and Simulation , 2005 .
[118] Yu Cao,et al. Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[119] Andrew R. Brown,et al. Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs , 2003 .
[120] M. Hussein,et al. An enhanced 130 nm generation logic technology featuring 60 nm transistors optimized for high performance and low power at 0.7 - 1.4 V , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).
[121] J. Meindl,et al. Performance comparison between carbon nanotube and copper interconnects for gigascale integration (GSI) , 2005, IEEE Electron Device Letters.
[122] F. Nouri,et al. NMOS drive current reduction caused by transistor layout and trench isolation induced stress , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).
[123] C. Hu,et al. FinFET-a self-aligned double-gate MOSFET scalable to 20 nm , 2000 .
[124] J. Welser,et al. Electron mobility enhancement in strained-Si n-type metal-oxide-semiconductor field-effect transistors , 1994, IEEE Electron Device Letters.
[125] Chenming Hu,et al. Electrical breakdown in thin gate and tunneling oxides , 1985, IEEE Transactions on Electron Devices.