Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores
暂无分享,去创建一个
Bashir M. Al-Hashimi | Amit Kumar Singh | Karunakar Reddy Basireddy | Eduardo Weber Wächter | Cédric de Bellefroid | Geoff Merrett | B. Al-Hashimi | G. Merrett | A. Singh | E. Wächter | K. R. Basireddy | Cédric de Bellefroid
[1] Heba Khdr,et al. Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon , 2017, IEEE Transactions on Computers.
[2] José Luis Núñez-Yáñez,et al. Adaptive Voltage Scaling in a Dynamically Reconfigurable FPGA-Based Platform , 2012, TRETS.
[3] Umit Y. Ogras,et al. Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] Tajana Simunic,et al. Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] Geoff V. Merrett,et al. Adaptive and Hierarchical Runtime Manager for Energy-Aware Thermal Management of Embedded Systems , 2016, ACM Trans. Embed. Comput. Syst..
[6] Geoff V. Merrett,et al. Inter-Cluster Thread-to-Core Mapping and DVFS on Heterogeneous Multi-Cores , 2018, IEEE Transactions on Multi-Scale Computing Systems.
[7] Sangyoung Park,et al. Frame-based and thread-based power management for mobile games on HMP platforms , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).
[8] Ümit Y. Ogras,et al. Power-Temperature Stability and Safety Analysis for Multiprocessor Systems , 2017, ACM Trans. Embed. Comput. Syst..
[9] Donghee Han,et al. Power-aware task scheduling for big.LITTLE mobile processor , 2013, 2013 International SoC Design Conference (ISOCC).
[10] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[11] Geoff V. Merrett,et al. Adaptive energy minimization of embedded heterogeneous systems using regression-based learning , 2015, 2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).
[12] Marco D. Santambrogio,et al. Workload-aware power optimization strategy for asymmetric multiprocessors , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[13] Ümit Y. Ogras,et al. Predictive dynamic thermal and power management for heterogeneous mobile platforms , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[14] Qing Wu,et al. A Multi-Agent Framework for Thermal Aware Task Migration in Many-Core Systems , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Muhammad Shafique,et al. Improving mobile gaming performance through cooperative CPU-GPU thermal management , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[16] Geoff V. Merrett,et al. Dataset supporting the article entitled "ITMD: Run-time Management of Concurrent Multi-Threaded Applications on Heterogeneous Multi-cores" , 2017 .
[17] Lieven Eeckhout,et al. Scheduling heterogeneous multi-cores through performance impact estimation (PIE) , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[18] Stefanos Kaxiras,et al. Power-performance adaptation in Intel core i7 , 2011 .
[19] Tajana Simunic,et al. Temperature Aware Task Scheduling in MPSoCs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[20] Frank Bellosa,et al. Process cruise control: event-driven clock scaling for dynamic power management , 2002, CASES '02.
[21] Hiroshi Sasaki,et al. Coordinated power-performance optimization in manycores , 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.
[22] Xiaowei Li,et al. An Analytical Framework for Estimating Scale-Out and Scale-Up Power Efficiency of Heterogeneous Manycores , 2016, IEEE Transactions on Computers.
[23] Anuj Pathania,et al. Integrated CPU-GPU power management for 3D mobile games , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[24] Luis Alfonso Maeda-Nunez,et al. Learning Transfer-Based Adaptive Energy Minimization in Embedded Systems , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[25] Alex Simpkins,et al. System Identification: Theory for the User, 2nd Edition (Ljung, L.; 1999) [On the Shelf] , 2012, IEEE Robotics & Automation Magazine.
[26] Geoff V. Merrett,et al. Learning-Based Run-Time Power and Energy Management of Multi/Many-Core Systems: Current and Future Trends , 2017, J. Low Power Electron..
[27] Sherief Reda,et al. Pack & Cap: Adaptive DVFS and thread packing under power caps , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[28] Alexandre Yakovlev,et al. Power--Aware Performance Adaptation of Concurrent Applications in Heterogeneous Many-Core Systems , 2016, ISLPED.
[29] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[30] Young Geun Kim,et al. Enhancing Energy Efficiency of Multimedia Applications in Heterogeneous Mobile Multi-Core Processors , 2017, IEEE Transactions on Computers.
[31] Christian Poellabauer,et al. Monitoring of cache miss rates for accurate dynamic voltage and frequency scaling , 2005, IS&T/SPIE Electronic Imaging.
[32] Axel Jantsch,et al. Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[33] Giovanni De Micheli,et al. Multicore thermal management using approximate explicit model predictive control , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[34] Nikil D. Dutt,et al. SPARTA: Runtime task allocation for energy efficient heterogeneous manycores , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).