On Diagnosis of Timing Failures in Scan Architecture

Excessive test mode power-ground noise in nanometer scale chips causes large delay uncertainties in scan chains, resulting in a highly elevated rate of timing failures. The hybrid timing violation types in scan chains, compounded by their possibly intermittent manifestations, invalidate the conventional assumptions in scan chain fault behavior, significantly increasing the ambiguity and difficulty in diagnosis. In this paper, we propose a novel methodology to identify the root cause of scan chain timing failures. The proposed work addresses the challenge of diagnosing multiple permanent or intermittent timing faults in scan chains and the associated clock trees, which closely approximate the realistic failure mechanisms observed in silicon. Instead of relying on fault simulation that is incapable of approximating the intermittent fault manifestation, the proposed technique characterizes the impact of timing faults by analyzing the phase movement of scan patterns. Extracting fault-sensitive statistical features of phase movement information provides strong signals for the precise identification of fault locations and types. The manifestation probability of each fault is furthermore computed through a mathematical transformation framework which accurately models the behavior of multiple faults as a Markov chain. The identification of failing scan cells enables a further examination of the possible delay defects in the scan clock buffers, which ascertains the possible root causes of the observed scan chain failures. The proposed scheme characterizes the timing impact of the defective clock buffers by extracting the change in the delay distribution of the clock paths, enabling the effective pruning of unrealistic fault hypotheses that would result in highly deviant timing behavior. Simulation results have confirmed that the proposed methodology can yield highly accurate diagnosis results for complex fault manifestations.

[1]  Edna Schechtman,et al.  Conditional bootstrap methods in the mean-shift model , 1987 .

[2]  Ozgur Sinanoglu,et al.  Scan Chain Hold-Time Violations: Can They be Tolerated? , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Arun Gunda,et al.  Failure analysis for full-scan circuits , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

[4]  Yu Huang,et al.  Diagnosis of Defects on Scan Enable and Clock Trees , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[5]  Srikanth Venkataraman,et al.  An algorithmic technique for diagnosis of faulty scan chains , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  J. Chien-Mo Li,et al.  Diagnosis of single stuck-at faults and multiple timing faults in scan chains , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Sandip Kundu,et al.  On diagnosis of faults in a scan-chain , 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.

[8]  S. Narayanan,et al.  An efficient scheme to diagnose scan chains , 1997, Proceedings International Test Conference 1997.

[9]  Yu Huang Dynamic Learning Based Scan Chain Diagnosis , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[10]  Sudhakar M. Reddy,et al.  Statistical diagnosis for intermittent scan chain hold-time fault , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[11]  Shi-Yu Huang,et al.  Diagnosis by Image Recovery: Finding Mixed Multiple Timing Faults in a Scan Chain , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[12]  Jack D. Tubbs,et al.  A note on binary template matching , 1989, Pattern Recognit..

[13]  Srikanth Venkataraman,et al.  Diagnosis of Scan Clock Failures , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[14]  D. Hinkley Inference about the change-point from cumulative sum tests , 1971 .

[15]  Shi-Yu Huang,et al.  Quick scan chain diagnosis using signal profiling , 2005, 2005 International Conference on Computer Design.

[16]  Wu-Tung Cheng,et al.  Intermittent scan chain fault diagnosis based on signal probability analysis , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[17]  Shi-Yu Huang,et al.  A versatile paradigm for scan chain diagnosis of complex faults using signal processing techniques , 2008, TODE.

[18]  Thomas F. Coleman,et al.  An Interior Trust Region Approach for Nonlinear Minimization Subject to Bounds , 1993, SIAM J. Optim..

[19]  Chien-Mo James Li Diagnosis of multiple hold-time and setup-time faults in scan chains , 2005, IEEE Transactions on Computers.

[20]  Wu-Tung Cheng,et al.  Scan Chain Diagnosis by Adaptive Signal Profiling with Manufacturing ATPG Patterns , 2009, 2009 Asian Test Symposium.

[21]  Franco Stellari,et al.  A novel scan chain diagnostics technique based on light emission from leakage current , 2004, 2004 International Conferce on Test.

[22]  Wu-Tung Cheng,et al.  Survey of Scan Chain Diagnosis , 2008, IEEE Design & Test of Computers.