Pattern uniformity control in integrated structures

In our previous paper dealing with multi-patterning, we proposed a new indicator to quantify the quality of final wafer pattern transfer, called interactive pattern fidelity error (IPFE). It detects patterning failures resulting from any source of variation in creating integrated patterns. IPFE is a function of overlay and edge placement error (EPE) of all layers comprising the final pattern (i.e. lower and upper layers). In this paper, we extend the use cases with Via in additional to the bridge case (Block on Spacer). We propose an IPFE budget and CD budget using simple geometric and statistical models with analysis of a variance (ANOVA). In addition, we validate the model with experimental data. From the experimental results, improvements in overlay, local-CDU (LCDU) of contact hole (CH) or pillar patterns (especially, stochastic pattern noise (SPN)) and pitch walking are all critical to meet budget requirements. We also provide a special note about the importance of the line length used in analyzing LWR. We find that IPFE and CD budget requirements are consistent to the table of the ITRS’s technical requirement. Therefore the IPFE concept can be adopted for a variety of integrated structures comprising digital logic circuits. Finally, we suggest how to use IPFE for yield management and optimization requirements for each process.

[1]  Hengpeng Wu,et al.  Patterning sub-25nm half-pitch hexagonal arrays of contact holes with chemo-epitaxial DSA guided by ArFi pre-patterns , 2015, Advanced Lithography.

[2]  Ken Maruyama,et al.  Novel EUV Resist Materials and Process for 20 nm Half Pitch and Beyond , 2013 .

[3]  Akiteru Ko,et al.  Resist process applications to improve EUV patterning , 2013, Advanced Lithography.

[4]  Gijsbert Rispens,et al.  Influence of etch process on contact hole local critical dimension uniformity in extreme-ultraviolet lithography , 2015, Advanced Lithography.

[5]  Jan Mulkens,et al.  Overlay and edge placement control strategies for the 7nm node using EUV and ArF lithography , 2015, Advanced Lithography.

[6]  Jan V. Hermans,et al.  Towards manufacturing a 10nm node device with complementary EUV lithography , 2013, Advanced Lithography.

[7]  Yi Cao,et al.  Using chemo-epitaxial directed self-assembly for repair and frequency multiplication of EUVL contact-hole patterns , 2014, Advanced Lithography.

[8]  Carlos Fonseca,et al.  Analyzing block placement errors in SADP patterning , 2016, SPIE Advanced Lithography.

[9]  Warren Montgomery,et al.  Evaluation of novel processing approaches to improve extreme ultraviolet (EUV) photoresist pattern quality , 2015, Advanced Lithography.

[10]  G. de Boer,et al.  MAPPER: progress toward a high-volume manufacturing system , 2013, Advanced Lithography.

[11]  Makoto Muramatsu,et al.  Simulation analysis of directed self-assembly for hole multiplication in guide pattern , 2014, Advanced Lithography.

[12]  Hiroyuki Shindo,et al.  Contour-based metrology for complex 2D shaped patterns printed by multiple-patterning process , 2014, Advanced Lithography.

[13]  Yijian Chen,et al.  A generalized edge-placement yield model for the cut-hole patterning process , 2014, Advanced Lithography.

[14]  Seo Min Kim,et al.  EUV stochastic noise analysis and LCDU mitigation by etching on dense contact-hole array patterns , 2014, Advanced Lithography.

[15]  Guido Schiffelers,et al.  EUV lithography: NXE platform performance overview , 2014, Advanced Lithography.

[16]  Franklin M. Schellenberg,et al.  Phase aware proximity correction for advanced masks , 2000, Advanced Lithography.

[17]  Jacek K. Tyminski Single lithography exposure edge placement model , 2015, Advanced Lithography.

[18]  Keita Katou,et al.  High volume manufacturing capability of negative tone development process , 2011, Advanced Lithography.

[19]  Nima Kalhor,et al.  Impact of pixel-dose optimization on pattern fidelity for helium ion beam lithography on EUV resist , 2015, Advanced Lithography.

[20]  James Cameron,et al.  Understanding EUV resist mottling leading to better resolution and linewidth roughness , 2014, Advanced Lithography.

[21]  Makoto Shimizu,et al.  Key parameters of EUV resists for contact hole applications , 2012, Advanced Lithography.

[22]  Dominic Ashworth,et al.  SEMATECH's cycles of learning test for EUV photoresist and its applications for process improvement , 2014, Advanced Lithography.