A Statistical Traffic Model for On-Chip Interconnection Networks
暂无分享,去创建一个
[1] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[2] David I. August,et al. Microarchitectural exploration with Liberty , 2002, MICRO 35.
[3] Radu Marculescu,et al. On-chip traffic modeling and synthesis for MPEG-2 video applications , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] August 29-September. 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems , 2000, Proceedings 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (Cat. No.PR00728).
[5] Vern Paxson,et al. Fast approximation of self-similar network traffic , 1995, SIGCOMM 1995.
[6] Kees G. W. Goossens,et al. Cost-performance trade-offs in networks on chip: a simulation-based approach , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[7] Frederica Darema,et al. Memory access patterns of parallel scientific programs , 1987, SIGMETRICS '87.
[8] Alberto L. Sangiovanni-Vincentelli,et al. Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[9] Paramvir Bahl,et al. Characterizing user behavior and network performance in a public wireless LAN , 2002, SIGMETRICS '02.
[10] Michel Mandjes,et al. ON SPECTRAL SIMULATION OF FRACTIONAL BROWNIAN MOTION , 2003, Probability in the Engineering and Informational Sciences.
[11] Walter Willinger,et al. On the Self-Similar Nature of Ethernet Traffic ( extended version ) , 1995 .
[12] Donald A. Calahan,et al. Models of Access Delays in Multiprocessor Memories , 1992, IEEE Trans. Parallel Distributed Syst..
[13] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[14] Luca Benini,et al. Analyzing on-chip communication in a MPSoC environment , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[15] Murad S. Taqqu,et al. Theory and applications of long-range dependence , 2003 .
[16] Walter Willinger,et al. Self-Similar Network Traffic and Performance Evaluation , 2000 .
[17] Yann-Hang Lee,et al. Consecutive Requests Traffic Model in Multistage Interconnection Networks , 1991, ICPP.
[18] Krste Asanovic,et al. Victim replication: maximizing capacity while hiding wire delay in tiled chip multiprocessors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[19] Benoit B. Mandelbrot,et al. Fractal Geometry of Nature , 1984 .
[20] Maurice Herlihy,et al. Virtualizing transactional memory , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[21] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[22] Stephen W. Turner,et al. Performance analysis of multiprocessor interconnection networks using a burst-traffic model , 1995 .
[23] Jaehyuk Huh,et al. Exploiting ILP, TLP, and DLP with the polymorphous TRIPS architecture , 2003, ISCA '03.
[24] Kunle Olukotun,et al. A Single-Chip Multiprocessor , 1997, Computer.
[25] S. Asano,et al. The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[26] A. Wood,et al. Simulation of Stationary Gaussian Processes in [0, 1] d , 1994 .
[27] Jan Madsen,et al. Network traffic generator model for fast network-on-chip simulation , 2005, Design, Automation and Test in Europe.
[28] Henry Hoffmann,et al. Evaluation of the Raw microprocessor: an exposed-wire-delay architecture for ILP and streams , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[29] Sally Floyd,et al. Wide-area traffic: the failure of Poisson modeling , 1994 .
[30] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[31] L. Benini,et al. /spl times/pipesCompiler: a tool for instantiating application specific networks on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[32] Miodrag Potkonjak,et al. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[33] Walter Willinger,et al. On the self-similar nature of Ethernet traffic , 1993, SIGCOMM '93.
[34] Radu Marculescu,et al. Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[35] Michael Devetsikiotis,et al. Modeling and simulation of self-similar variable bit rate compressed video: a unified approach , 1995, SIGCOMM '95.
[36] Arnold L. Neidhardt,et al. The concept of relevant time scales and its application to queuing analysis of self-similar traffic (or is Hurst naughty or nice?) , 1998, SIGMETRICS '98/PERFORMANCE '98.
[37] Kunle Olukotun,et al. Niagara: a 32-way multithreaded Sparc processor , 2005, IEEE Micro.
[38] Sujit Dey,et al. Evaluation of the traffic-performance characteristics of system-on-chip communication architectures , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.
[39] SankaralingamKarthikeyan,et al. Exploiting ILP, TLP, and DLP with the polymorphous TRIPS architecture , 2003 .