Physical Design of 3D FPGAs Embedded with Micro-channel-based Fluidic Cooling
暂无分享,去创建一个
[1] S. Kim,et al. Numerical optimization of the thermal performance of a microchannel heat sink , 2002 .
[2] Mingjie Lin,et al. Performance Benefits of Monolithically Stacked 3-D FPGA , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Ankur Srivastava,et al. Non-uniform micro-channel design for stacked 3D-ICs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[4] Jason Helge Anderson,et al. Active leakage power optimization for FPGAs , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[5] Steven Trimberger,et al. A 90-nm Low-Power FPGA for Battery-Powered Applications , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[6] Yang Zhang,et al. Embedded cooling technologies for densely integrated electronic systems , 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).
[7] Kia Bazargan,et al. A tileable switch module architecture for homogeneous 3D FPGAs , 2009, 2009 IEEE International Conference on 3D System Integration.
[8] Steven J. E. Wilton,et al. Activity Estimation for Field-Programmable Gate Arrays , 2006, 2006 International Conference on Field Programmable Logic and Applications.
[9] Li Shang,et al. Dynamic power consumption in Virtex™-II FPGA family , 2002, FPGA '02.
[10] Ankur Srivastava,et al. Hybrid 3D-IC Cooling System Using Micro-fluidic Cooling and Thermal TSVs , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.
[11] Zied Marrakchi,et al. Designing a 3D tree-based FPGA: Optimization of butterfly programmable interconnect topology using 3D technology , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).
[12] R. Pease,et al. High-performance heat sinking for VLSI , 1981, IEEE Electron Device Letters.
[13] Sung Kyu Lim,et al. A study of Through-Silicon-Via impact on the 3D stacked IC layout , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[14] Y. Joshi,et al. Stacked Microchannel Heat Sinks for Liquid Cooling of Microelectronic Components , 2000, Heat Transfer: Volume 4.
[15] Abbas El Gamal,et al. Nonvolatile 3D-FPGA with monolithically stacked RRAM-based configuration memory , 2012, 2012 IEEE International Solid-State Circuits Conference.
[16] Sungjun Im,et al. Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures , 2005 .
[17] Kia Bazargan,et al. Exploring Potential Benefits of 3D FPGA Integration , 2004, FPL.
[18] Dimitrios Soudris,et al. Architecture-Level Exploration of Alternative Interconnection Schemes Targeting 3D FPGAs: A Software-Supported Methodology , 2008, Int. J. Reconfigurable Comput..
[19] Vaughn Betz,et al. Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.
[20] Ankur Jain,et al. Electrical modeling and characterization of through-silicon vias (TSVs) for 3-D integrated circuits , 2008, Microelectron. J..
[21] Ankur Srivastava,et al. Continued frequency scaling in 3D ICs through micro-fluidic cooling , 2014, Fourteenth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm).
[22] P. Cochat,et al. Et al , 2008, Archives de pediatrie : organe officiel de la Societe francaise de pediatrie.
[23] Y. Peles,et al. Multi-objective thermal design optimization and comparative analysis of electronics cooling technologies , 2009 .
[24] Mahmut T. Kandemir,et al. Designing a 3-D FPGA: Switch Box Architecture and Thermal Issues , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[25] David Atienza,et al. GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[26] David Atienza,et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).