Fast Identification of Undetectable Transition Faults under Functional Broadside Tests

This paper describes a fast procedure for identifying undetectable transition faults under functional broadside tests. By using reachable states as scan-in states, functional broadside tests avoid overtesting that may occur when scan-based tests are used for detecting delay faults. The proposed procedure is based only on logic simulation, and does not perform test generation of any type. In one of its variations, the procedure uses logic simulation of fully unspecified primary input vectors starting from a known initial state in order to identify a superset of broadside tests that covers all the functional broadside tests. It then uses this superset to identify undetectable transition faults. The procedure identifies large numbers of undetectable transition faults in certain benchmark circuits.

[1]  Irith Pomeranz,et al.  On finding undetectable and redundant faults in synchronous sequential circuits , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[2]  Daniel Brand,et al.  Identification of redundant delay faults , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Irith Pomeranz,et al.  Hazard-Based Detection Conditions for Improved Transition Fault Coverage of Scan-Based Tests , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Miron Abramovici,et al.  Sequentially untestable faults identified without search ("simple implications beat exhaustive search!") , 1994, Proceedings., International Test Conference.

[5]  Ruifeng Guo,et al.  Enhancing Transition Fault Model for Delay Defect Diagnosis , 2008, 2008 17th Asian Test Symposium.

[6]  Srinivas Patil,et al.  Broad-side delay test , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Janak H. Patel,et al.  A graph traversal based framework for sequential logic implication with an application to C-cycle redundancy identification , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

[8]  Kenneth M. Butler,et al.  A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[9]  On identifying undetectable and redundant faults in synchronous sequential circuits , 1994, Proceedings of IEEE VLSI Test Symposium.

[10]  Shlomi Sde-Paz,et al.  Frequency and Power Correlation between At-Speed Scan and Functional Tests , 2008, 2008 IEEE International Test Conference.

[11]  D. E. Long,et al.  Identifying sequential redundancies without search , 1996, 33rd Design Automation Conference Proceedings, 1996.

[12]  Gang Chen,et al.  Procedures for identifying untestable and redundant transition faults in synchronous sequential circuits , 2003, Proceedings 21st International Conference on Computer Design.

[13]  Irith Pomeranz,et al.  On reset based functional broadside tests , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[14]  Qiang Peng,et al.  MUST: multiple-stem analysis for identifying sequentially untestable faults , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[15]  Jeff Rearick Too much delay fault coverage is a bad thing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[16]  Irith Pomeranz,et al.  Generation of Functional Broadside Tests for Transition Faults , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  S. Chakradhar,et al.  Combinational ATPG theorems for identifying untestable faults in sequential circuits , 1993, Proceedings ETC 93 Third European Test Conference.