Design dependent process monitoring for back-end manufacturing cost reduction

Short-loop process monitoring structures (usually simple device I — V, C — V measurements made after M1 fabrication) are commonly put in wafer scribe-lines. These test structures are almost always design independent and measured/monitored by the foundry to keep track of process deviations. We propose a design-dependent process monitoring strategy which can accurately predict design performance based on simple Ieff-based delay and Ioff-based leakage power estimates. We show that our strategy works much better (0.99 correlation vs. 0.87) compared to conventional design-independent monitors. Further, we use the predicted delay and leakage power for early yield estimation for pruning bad wafers to save test and back-end manufacturing costs We show that wafer pruning based on our approach can achieve upto 98% of the maximum achievable benefit/profit. We design the measurement and prediction schemes so as to minimize data as well as computation that needs to be kept track of during wafer fabrication. Such design-dependent process monitoring can help target process control/optimization effort, enable quicker yield ramp besides saving test and manufacturing costs.

[1]  Rob A. Rutenbar,et al.  Virtual probe: A statistically optimal framework for minimum-cost silicon characterization of nanoscale integrated circuits , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[2]  A.J. Drake,et al.  Dynamic measurement of critical-path timing , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.

[3]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  T. Schulz,et al.  An Effective Switching Current Methodology to Predict the Performance of Complex Digital Circuits , 2007, 2007 IEEE International Electron Devices Meeting.

[5]  Sani R. Nassif,et al.  Analyzing the impact of process variations on parametric measurements: Novel models and applications , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[6]  E.J. Nowak,et al.  The effective drive current in CMOS inverters , 2002, Digest. International Electron Devices Meeting,.

[7]  Puneet Gupta,et al.  Design sensitivities to variability: extrapolations and assessments in nanometer VLSI , 2002, 15th Annual IEEE International ASIC/SOC Conference.

[8]  Sangyeun Cho,et al.  Early prediction of product performance and yield via technology benchmark , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[9]  Manjul Bhushan,et al.  An Integrated CAD Methodology for Evaluating MOSFET and Parasitic Extraction Models and Variability , 2007, Proceedings of the IEEE.

[10]  D. Acharyya,et al.  Rigorous Extraction of Process Variations for 65-nm CMOS Design , 2009, IEEE Transactions on Semiconductor Manufacturing.

[11]  M.B. Ketchen,et al.  Ring oscillators for CMOS process tuning and variability control , 2006, IEEE Transactions on Semiconductor Manufacturing.

[12]  Edward J. McCluskey,et al.  Delay defect screening using process monitor structures , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[13]  E.J. Nowak,et al.  Improved effective switching current (IEFF+) and capacitance methodology for CMOS circuit performance prediction and model-to-hardware correlation , 2008, 2008 IEEE International Electron Devices Meeting.

[14]  Yu Cao,et al.  Rigorous extraction of process variations for 65nm CMOS design , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.

[15]  M. Ketchen,et al.  High speed test structures for in-line process monitoring and model calibration [CMOS applications] , 2005, Proceedings of the 2005 International Conference on Microelectronic Test Structures, 2005. ICMTS 2005..

[16]  Puneet Gupta,et al.  Electrical Modeling of Lithographic Imperfections , 2010, 2010 23rd International Conference on VLSI Design.

[17]  Costas J. Spanos,et al.  Physically justifiable die-level modeling of spatial variation in view of systematic across wafer variability , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[18]  H. Aziza,et al.  Test Structure for Process and Product Evaluation , 2007, 2007 IEEE International Conference on Microelectronic Test Structures.

[19]  David Blaauw,et al.  Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..

[20]  Sachin S. Sapatnekar,et al.  Synthesizing a representative critical path for post-silicon delay prediction , 2009, ISPD '09.

[21]  R. Lefferts,et al.  An integrated test chip for the complete characterization and monitoring of a 0.25/spl mu/m CMOS technology that fits into five scribe line structures 150/spl mu/m by 5000/spl mu/m , 2003, International Conference on Microelectronic Test Structures, 2003..