A statistical methodology for noise sensor placement and full-chip voltage map generation
暂无分享,去创建一个
[1] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[2] T. N. Vijaykumar,et al. Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise , 2003, ISLPED '03.
[3] Bruce G. Mealey,et al. IBM POWER6 reliability , 2007, IBM J. Res. Dev..
[4] Christian Bienia,et al. Benchmarking modern multiprocessors , 2011 .
[5] Meeta Sharma Gupta,et al. An event-guided approach to reducing voltage noise in processors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[6] Paolo A. Aseron,et al. A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[7] Radford M. Neal. Pattern Recognition and Machine Learning , 2007, Technometrics.
[8] Margaret Martonosi,et al. Control techniques to eliminate voltage emergencies in high performance processors , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[9] M. Yuan,et al. Model selection and estimation in regression with grouped variables , 2006 .
[10] Tao Wang,et al. Eagle-Eye: A near-optimal statistical framework for noise sensor placement , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[11] David Blaauw,et al. Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, MICRO.
[12] Vivek Tiwari,et al. Microarchitectural simulation and control of di/dt-induced power supply voltage variation , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[13] Bishop Brock,et al. Active Guardband Management in Power7+ to Save Energy and Maintain Reliability , 2013, IEEE Micro.
[14] Isabelle Guyon,et al. An Introduction to Variable and Feature Selection , 2003, J. Mach. Learn. Res..
[15] T. N. Vijaykumar,et al. Pipeline damping: a microarchitectural technique to reduce inductive noise in supply voltage , 2003, ISCA '03.
[16] Lisa Turner,et al. Applications of Second Order Cone Programming , 2012 .
[17] Meeta Sharma Gupta,et al. DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[18] Kenneth M. Butler,et al. Test data analytics — Exploring spatial and test-item correlations in production test data , 2013, 2013 IEEE International Test Conference (ITC).
[19] Meeta Sharma Gupta,et al. Voltage emergency prediction: Using signatures to reduce operating margins , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[20] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).