VAWOM: Temperature and process variation aware WearOut Management in 3D multicore architecture
暂无分享,去创建一个
[1] Jun Yang,et al. Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.
[2] Janet Roveda,et al. NBTI aware workload balancing in multi-core systems , 2009, 2009 10th International Symposium on Quality Electronic Design.
[3] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[4] Sudhanva Gurumurthi,et al. A multi-level approach to reduce the impact of NBTI on processor functional units , 2010, GLSVLSI '10.
[5] Erika Gunadi,et al. Combating Aging with the Colt Duty Cycle Equalizer , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[6] Jaume Abella,et al. Penelope: The NBTI-Aware Processor , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[7] Srinivas Devadas,et al. Scalable, accurate multicore simulation in the 1000-core era , 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.
[8] Linda S. Milor,et al. Reliable cache design with on-chip monitoring of NBTI degradation in SRAM cells using BIST , 2010, 2010 28th VLSI Test Symposium (VTS).
[9] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[10] Said Hamdioui,et al. NBTI modeling in the framework of temperature variation , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[11] Houman Homayoun,et al. Dynamically heterogeneous cores through 3D resource pooling , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[12] Li Shang,et al. Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] Puneet Gupta,et al. On the efficacy of NBTI mitigation techniques , 2011, 2011 Design, Automation & Test in Europe.
[14] Sarita V. Adve,et al. The impact of technology scaling on lifetime reliability , 2004, International Conference on Dependable Systems and Networks, 2004.
[15] Yu Cao,et al. The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[16] Avesta Sasan,et al. Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[17] S. Mahapatra,et al. Recent Issues in Negative-Bias Temperature Instability: Initial Degradation, Field Dependence of Interface Trap Generation, Hole Trapping Effects, and Relaxation , 2007, IEEE Transactions on Electron Devices.
[18] Lide Zhang,et al. Scheduled voltage scaling for increasing lifetime in the presence of NBTI , 2009, 2009 Asia and South Pacific Design Automation Conference.
[19] Houman Homayoun,et al. Temperature aware thread migration in 3D architecture with stacked DRAM , 2013, International Symposium on Quality Electronic Design (ISQED).
[20] Qiang Xu,et al. Characterizing the lifetime reliability of manycore processors with core-level redundancy , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[21] Sani R. Nassif,et al. Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[22] Dhiraj K. Pradhan,et al. Investigating the impact of NBTI on different power saving cache strategies , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[23] Yusuf Leblebici,et al. Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[24] A. Asenov,et al. Analysis of Threshold Voltage Distribution Due to Random Dopants: A 100 000-Sample 3-D Simulation Study , 2009, IEEE Transactions on Electron Devices.
[25] Jun Yang,et al. Variation-tolerant non-uniform 3D cache management in die stacked multicore processor , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[26] Jie Wu,et al. A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model , 2003, IEEE Trans. Computers.
[27] Tao Li,et al. NBTI tolerant microarchitecture design in the presence of process variation , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[28] Muhammad Ashraful Alam,et al. A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..
[29] Houman Homayoun,et al. Heterogeneous memory management for 3D-DRAM and external DRAM with QoS , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
[30] Pradip Bose,et al. A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime , 2008, 2008 International Symposium on Computer Architecture.
[31] Yu Cao,et al. Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[32] Dean M. Tullsen,et al. Fellowship - Simulation And Modeling Of A Simultaneous Multithreading Processor , 1996, Int. CMG Conference.
[33] Josep Torrellas,et al. Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[34] Ruchir Puri,et al. Design, CAD and technology challenges for future processors: 3D perspectives , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[35] Sachin S. Sapatnekar,et al. NBTI-Aware Synthesis of Digital Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[36] Pradip Bose,et al. Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).