A new reconfigurable clock-gating technique for low power SRAM-based FPGAs

Power consumption is dramatically increasing for Static Random Access Memory Field Programmable Gate Arrays (SRAM-FPGAs), therefore lower power FPGA circuitry and new CAD tools are needed. Clock-gating methodologies have been applied in low power FPGA designs with only minor success in reducing the total average power consumption. In this paper, we developed a new structural clock-gating technique based on internal partial reconfiguration and topological modifications. The solution is based on the dynamic partial reconfiguration of the configuration memory frames related to the clock routing resources. For a set of design cases, figures of static and dynamic power consumption were obtained. The analyses have been performed on a synchronous FIFO and on a r-VEX VLIW processor. The experimental results shown that the efficiency in the total average power consumptions ranges from about 28% to 39% with respect to standard clock-gating approaches. Besides, the proposed method is not intrusive, and presents a very limited cost in term of area overhead.

[1]  Bo-Cheng Lai,et al.  Leakage power analysis of a 90nm FPGA , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[2]  Kiat Seng Yeo,et al.  Low Voltage, Low Power VLSI Subsystems , 2004 .

[3]  Jürgen Becker,et al.  Dynamic and Partial FPGA Exploitation , 2007, Proceedings of the IEEE.

[4]  Luca Benini,et al.  Saving power by synthesizing gated clocks for sequential circuits , 1994, IEEE Design & Test of Computers.

[5]  Paolo Faraboschi,et al.  Embedded Computing: A VLIW Approach to Architecture, Compilers and Tools , 2004 .

[6]  Julien Lamoureux,et al.  On the Interaction Between Power-Aware FPGA CAD Algorithms , 2003, ICCAD 2003.

[7]  Adronis Niyonkuru,et al.  Partial and Dynamically Reconfiguration of Xilinx Virtex-II FPGAs , 2004, FPL.

[8]  Massimo Violante,et al.  A new reliability-oriented place and route algorithm for SRAM-based FPGAs , 2006, IEEE Transactions on Computers.

[9]  Tim Tuan,et al.  Active leakage power optimization for FPGAs , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Jason Cong,et al.  Architecture evaluation for power-efficient FPGAs , 2003, FPGA '03.

[11]  Andrew A. Kennings,et al.  A technique for minimizing power during FPGA placement , 2008, 2008 International Conference on Field Programmable Logic and Applications.

[12]  Steven J. E. Wilton,et al.  Clock-Aware Placement for FPGAs , 2007, 2007 International Conference on Field Programmable Logic and Applications.

[13]  Qiang Wang,et al.  Clock power reduction for virtex-5 FPGAs , 2009, FPGA '09.

[14]  Fei Li,et al.  Field Programmability of Supply Voltages for FPGA Power Reduction , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Yici Cai,et al.  Power optimization through edge reduction in LUT-based FPGA technology mapping , 2009, 2009 International Conference on Communications, Circuits and Systems.

[16]  Jason Helge Anderson,et al.  Clock gating architectures for FPGA power reduction , 2009, 2009 International Conference on Field Programmable Logic and Applications.

[17]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Wayne Luk,et al.  Benchmarking Reconfigurable Architectures in the Mobile Domain , 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.