Thermal Aspects and High-Level Explorations of 3D Stacked DRAMs

DRAMs are very sensitive to temperature changes as they use capacitors as volatile and leaky bit storage elements. 3D stacking of heterogenous dies provokes more and more challenges, such as high power densities and thermal dissipation, and has a much stronger impact on the retention time of 3D stacked WIDE I/O DRAMs that are placed on top of an MPSoC. Consequently, it is very important to study the temperature behavior of WIDE I/O DRAMs and explore on high-level with advanced modeling how the thermal issues can be mitigated. In this paper, we demonstrate thermal modeling of 3D integrated ICs and we further provide detailed measurements on temperature-dependent bit error rates of WIDE I/O DRAMs based on real silicon (WIOMING chip) and high-level explorations with advanced modeling and tools, such as gem5 (full system-simulator), DRAMSys and DOCEAs Ace Thermal ModelerTM (ATM), to obtain mitigation paths for thermal problems in 3D stacked DRAMs.

[1]  Yuan Xie,et al.  Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[2]  T. Magis,et al.  Towards efficient and reliable 300mm 3D technology for wide I/O interconnects , 2012, 2012 IEEE 14th Electronics Packaging Technology Conference (EPTC).

[3]  Jason Cong,et al.  Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[4]  Norbert Wehn,et al.  Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[5]  Sachin S. Sapatnekar,et al.  Placement of thermal vias in 3-D ICs using various thermal objectives , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Christian Bernard,et al.  A 0.9 pJ/bit, 12.8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC , 2013, 2013 Symposium on VLSI Technology.

[7]  Ricardo Reis,et al.  Using TSVs for thermal mitigation in 3D circuits: Wish and truth , 2014, 2014 International 3D Systems Integration Conference (3DIC).

[8]  Luca Benini,et al.  Optimized active and power-down mode refresh control in 3D-DRAMs , 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).

[9]  Young-Hyun Jun,et al.  A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.

[10]  Luca Benini,et al.  Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Young-Hyun Jun,et al.  A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4×128 I/Os using TSV-based stacking , 2011, 2011 IEEE International Solid-State Circuits Conference.

[12]  Norbert Wehn,et al.  A Cross-Layer Reliability Design Methodology for Efficient, Dependable Wireless Receivers , 2014, ACM Trans. Embed. Comput. Syst..

[13]  Norbert Wehn,et al.  TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration , 2013, RAPIDO '13.

[14]  Ricardo Reis,et al.  System-level thermal modeling for 3D circuits: Characterization with a 65nm memory-on-logic circuit , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

[15]  Nicolas Peltier,et al.  Thermal modeling methodology for efficient system-level thermal analysis , 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

[16]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.