Real-time scheduling of embedded applications on multi-core platforms
暂无分享,去创建一个
[1] Li Shang,et al. Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[2] Huazhong Yang,et al. Temperature-Aware Leakage Estimation Using Piecewise Linear Power Models , 2010, IEICE Trans. Electron..
[3] Xiaobo Sharon Hu,et al. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2011, IEEE Trans. Very Large Scale Integr. Syst..
[4] Rami G. Melhem,et al. An Improved Rate-Monotonic Admission Control and Its Applications , 2003, IEEE Trans. Computers.
[5] Karam S. Chatha,et al. Near optimal battery-aware energy management , 2009, ISLPED.
[6] Björn Andersson,et al. The utilization bounds of partitioned and pfair static-priority scheduling on multiprocessors are 50% , 2003, 15th Euromicro Conference on Real-Time Systems, 2003. Proceedings..
[7] Gang Quan,et al. Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems , 2010, CIT.
[8] Jun Yang,et al. Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.
[9] Gang Quan,et al. Feasibility Analysis for Temperature-Constraint Hard Real-Time Periodic Tasks , 2010, IEEE Transactions on Industrial Informatics.
[10] Karam S. Chatha,et al. Approximation algorithm for the temperature-aware scheduling problem , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[11] Jane W.-S. Liu,et al. Validating timing constraints in multiprocessor and distributed real-time systems , 1994, 14th International Conference on Distributed Computing Systems.
[12] Yusuf Leblebici,et al. Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[13] Guanglei Liu,et al. Neighbor-aware dynamic thermal management for multi-core platform , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[14] James H. Anderson,et al. Is Semi-Partitioned Scheduling Practical? , 2011, 2011 23rd Euromicro Conference on Real-Time Systems.
[15] Dakai Zhu,et al. Generalized reliability-oriented energy management for real-time embedded applications , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[16] X. Hu,et al. Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[17] Jane W.-S. Liu. Real-Time Systems , 2000, Encyclopedia of Algorithms.
[18] S. Adve,et al. RAMP : A Model for Reliability Aware MicroProcessor Design , 2003 .
[19] Qiang Xu,et al. On Task Allocation and Scheduling for Lifetime Extension of Platform-Based MPSoC Designs , 2011, IEEE Transactions on Parallel and Distributed Systems.
[20] Björn Andersson,et al. Global Static-Priority Preemptive Multiprocessor Scheduling with Utilization Bound 38% , 2008, OPODIS.
[21] Ahmed Sameh,et al. The Illiac IV system , 1972 .
[22] Herb Sutter,et al. The Free Lunch Is Over A Fundamental Turn Toward Concurrency in Software , 2013 .
[23] Björn Andersson,et al. Competitive Analysis of Static-Priority Partitioned Scheduling on Uniform Multiprocessors , 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).
[24] Gang Qu,et al. Temperature-Aware Leakage Minimization Technique for Real-Time Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[25] R.K. Lawrence. Radiation Characterization of 512Mb SDRAMs , 2007, 2007 IEEE Radiation Effects Data Workshop.
[26] Gang Quan,et al. Leakage aware energy minimization for real-time systems under the maximum temperature constraint , 2011, 2011 Design, Automation & Test in Europe.
[27] Linwei Niu,et al. Fixed priority scheduling for reducing overall energy on variable voltage processors , 2004, 25th IEEE International Real-Time Systems Symposium.
[28] Lei He,et al. Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Kirk Pruhs,et al. Speed scaling to manage energy and temperature , 2007, JACM.
[30] Sarma B. K. Vrudhula,et al. Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[31] Ching-Chih Han,et al. A better polynomial-time schedulability test for real-time fixed-priority scheduling algorithms , 1997, Proceedings Real-Time Systems Symposium.
[32] Yeong Rak Seong,et al. Optimal RM scheduling for simply periodic tasks on uniform multiprocessors , 2009, ICHIT '09.
[33] Qinru Qiu,et al. Distributed task migration for thermal management in many-core systems , 2010, Design Automation Conference.
[34] Tei-Wei Kuo,et al. Load adjustment in adaptive real-time systems , 1991, [1991] Proceedings Twelfth Real-Time Systems Symposium.
[35] Shinpei Kato,et al. Semi-partitioned Fixed-Priority Scheduling on Multiprocessors , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[36] Björn Andersson,et al. Fixed-priority preemptive multiprocessor scheduling: to partition or not to partition , 2000, Proceedings Seventh International Conference on Real-Time Computing Systems and Applications.
[37] Rami G. Melhem,et al. An efficient RMS admission control and its application to multiprocessor scheduling , 1998, Proceedings of the First Merged International Parallel Processing Symposium and Symposium on Parallel and Distributed Processing.
[38] Lothar Thiele,et al. Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[39] Gang Quan,et al. An analytical solution for multi-core energy calculation with consideration of leakage and temperature dependency , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[40] Xiaobo Sharon Hu,et al. Online work maximization under a peak temperature constraint , 2009, ISLPED.
[41] Lothar Thiele,et al. Thermally optimal stop-go scheduling of task graphs with real-time constraints , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[42] Dakai Zhu,et al. Energy Management for Real-Time Embedded Systems with Reliability Requirements , 2006, IEEE/ACM International Conference on Computer-Aided Design.
[43] Chenyang Lu,et al. A utilization bound for aperiodic tasks and priority driven scheduling , 2004, IEEE Transactions on Computers.
[44] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[45] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[46] Rajesh K. Gupta,et al. Dynamic slack reclamation with procrastination scheduling in real-time embedded systems , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[47] Giorgio C. Buttazzo,et al. Rate Monotonic Analysis: The Hyperbolic Bound , 2003, IEEE Trans. Computers.
[48] Karam S. Chatha,et al. Thermal aware task sequencing on embedded processors , 2010, Design Automation Conference.
[49] Samuel Williams,et al. The Landscape of Parallel Computing Research: A View from Berkeley , 2006 .
[50] Qing Wu,et al. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[51] Gang Quan,et al. Energy minimization for fault tolerant real-time applications on multiprocessor platforms using checkpointing , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[52] Diana Marculescu,et al. A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors , 2012, 17th Asia and South Pacific Design Automation Conference.
[53] John P. Lehoczky,et al. The rate monotonic scheduling algorithm: exact characterization and average case behavior , 1989, [1989] Proceedings. Real-Time Systems Symposium.
[54] Wang Yi,et al. Parametric Utilization Bounds for Fixed-Priority Multiprocessor Scheduling , 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium.
[55] Shinpei Kato,et al. Portioned static-priority scheduling on multiprocessors , 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.
[56] Daniel F. García,et al. Minimum and maximum utilization bounds for multiprocessor RM scheduling , 2001, Proceedings 13th Euromicro Conference on Real-Time Systems.
[57] Yan Zhang,et al. Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks , 2009, 2009 21st Euromicro Conference on Real-Time Systems.
[58] Gang Quan,et al. Harmonic-Fit Partitioned Scheduling for Fixed-Priority Real-Time Tasks on the Multiprocessor Platform , 2011, 2011 IFIP 9th International Conference on Embedded and Ubiquitous Computing.
[59] Parameswaran Ramanathan,et al. Real-time computing: a new discipline of computer science and engineering , 1994, Proc. IEEE.
[60] Sanjoy K. Baruah,et al. Static-priority scheduling on multiprocessors , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).
[61] Meikang Qiu,et al. Hybrid of Job Sequencing and DVFS for Peak Temperature Reduction with Nondeterministic Applications , 2010, 2010 10th IEEE International Conference on Computer and Information Technology.
[62] Vikas Agarwal,et al. Clock rate versus IPC: the end of the road for conventional microarchitectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[63] Ding-Ming Kwai,et al. Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization , 2011, 2011 Design, Automation & Test in Europe.
[64] Gokhan Memik,et al. Into the wild: Studying real user activity patterns to guide power optimizations for mobile architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[65] Gang Quan,et al. Harmonic semi-partitioned scheduling for fixed-priority real-time tasks on multi-core platform , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[66] Sarita V. Adve,et al. The impact of technology scaling on lifetime reliability , 2004, International Conference on Dependable Systems and Networks, 2004.
[67] Petru Eles,et al. Design Optimization of Time- and Cost-Constrained Fault-Tolerant Embedded Systems With Checkpointing and Replication , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[68] James H. Anderson,et al. An EDF-based scheduling algorithm for multiprocessor soft real-time systems , 2005, 17th Euromicro Conference on Real-Time Systems (ECRTS'05).
[69] Jennifer C. Hou,et al. Distance-Constrained Scheduling and Its Applications to Real-Time Systems , 1996, IEEE Trans. Computers.
[70] Meikang Qiu,et al. Real-Time Constrained Task Scheduling in 3D Chip Multiprocessor to Reduce Peak Temperature , 2010, 2010 IEEE/IFIP International Conference on Embedded and Ubiquitous Computing.
[71] Sarma B. K. Vrudhula,et al. Throughput optimal task allocation under thermal constraints for multi-core processors , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[72] Sarma B. K. Vrudhula,et al. Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[73] Sanjoy K. Baruah,et al. A Categorization of Real-Time Multiprocessor Scheduling Problems and Algorithms , 2004, Handbook of Scheduling.
[74] F. Frances Yao,et al. A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.
[75] Wang Yi,et al. Fixed-Priority Multiprocessor Scheduling with Liu and Layland's Utilization Bound , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.
[76] Tajana Simunic,et al. TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[77] Lothar Thiele,et al. Worst-Case Temperature Guarantees for Real-Time Applications on Multi-core Systems , 2012, 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium.
[78] Steve Heath,et al. Embedded Systems Design , 1997 .
[79] Edward G. Coffman,et al. Approximation algorithms for bin packing: a survey , 1996 .
[80] Sang Hyuk Son,et al. New Strategies for Assigning Real-Time Tasks to Multiprocessor Systems , 1995, IEEE Trans. Computers.
[81] Ya-Shu Chen,et al. Thermal-aware real-time task scheduling for three-dimensional multicore chip , 2012, SAC '12.
[82] Kunle Olukotun,et al. A Single-Chip Multiprocessor , 1997, Computer.
[83] G. G. Stokes. "J." , 1890, The New Yale Book of Quotations.
[84] Luca Faust,et al. Modern Operating Systems , 2016 .
[85] Petru Eles,et al. Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems , 2012, DAC Design Automation Conference 2012.
[86] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[87] Chung Laung Liu,et al. Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.
[88] Coniferous softwood. GENERAL TERMS , 2003 .
[89] K.G. Shin,et al. On-line dynamic voltage scaling for hard real-time systems using the EDF algorithm , 2004, 25th IEEE International Real-Time Systems Symposium.
[90] W. Yi,et al. Fixed-Priority Multiprocessor Scheduling : Beyond Liu & Layland Utilization Bound , 2010 .
[91] Sarma B. K. Vrudhula,et al. Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling , 2014, IEEE Transactions on Computers.
[92] Björn Andersson,et al. Scheduling Arbitrary-Deadline Sporadic Task Systems on Multiprocessors , 2008, 2008 Real-Time Systems Symposium.
[93] Kwei-Jay Lin,et al. Rate Monotonic Schedulability Conditions Using Relative Period Ratios , 2006, 12th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'06).
[94] Meikang Qiu,et al. Throughput maximization for periodic real-time systems under the maximal temperature constraint , 2014, ACM Trans. Embed. Comput. Syst..
[95] Frank Mueller,et al. DVSleak: combining leakage reduction and voltage scaling in feedback EDF scheduling , 2007, LCTES.
[96] Rami Melhem,et al. The effects of energy management on reliability in real-time embedded systems , 2004, ICCAD 2004.
[97] Sudarshan K. Dhall,et al. On a Real-Time Scheduling Problem , 1978, Oper. Res..
[98] Petru Eles,et al. Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[99] Lawrence Jenkins,et al. Utilization Bounds for RM Scheduling on Uniform Multiprocessors , 2006, 12th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'06).
[100] Tei-Wei Kuo,et al. Energy-efficient real-time task scheduling with temperature-dependent leakage , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[101] Petru Eles,et al. Temperature-Aware Voltage Selection for Energy Optimization , 2008, 2008 Design, Automation and Test in Europe.
[102] Gang Quan,et al. On-line leakage-aware energy minimization scheduling for hard real-time systems , 2012, 17th Asia and South Pacific Design Automation Conference.
[103] Li Shang,et al. Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[104] Kevin Skadron,et al. Temperature-Aware Computer Systems: Opportunities and Challenges , 2003, IEEE Micro.
[105] Tulika Mitra,et al. Temperature aware task sequencing and voltage scaling , 2008, ICCAD 2008.
[106] Shinpei Kato,et al. Real-Time Scheduling with Task Splitting on Multiprocessors , 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).
[107] Petru Eles,et al. On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[108] Jan M. Rabaey,et al. Digital Integrated Circuits: A Design Perspective , 1995 .
[109] David Yeh,et al. Thousand-Core Chips [Roundtable] , 2008, IEEE Design & Test of Computers.
[110] Ragunathan Rajkumar,et al. pCOMPATS: Period-Compatible Task Allocation and Splitting on Multi-core Processors , 2012, 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium.
[111] Kang G. Shin,et al. Predicting thermal behavior for temperature management in time-critical multicore systems , 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).
[112] John P. Lehoczky,et al. Partitioned Fixed-Priority Preemptive Scheduling for Multi-core Processors , 2009, 2009 21st Euromicro Conference on Real-Time Systems.
[113] Alan Burns,et al. A survey of hard real-time scheduling for multiprocessor systems , 2011, CSUR.
[114] Yan Zhang,et al. Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint , 2008, CODES+ISSS '08.
[115] Marilyn Wolf,et al. Multiprocessor system-on-chip technology , 2009, IEEE Signal Processing Magazine.