A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode

Recent progress in ultra-low-power circuit design is creating new opportunities for cubic millimeter computing. Robust low-voltage operation has reduced active mode power consumption considerably, but standby mode power consumption has received relatively little attention from low-voltage designers. In this work, we describe a low-voltage processor called the Phoenix Processor that has been designed at the device, circuit, and architecture levels to minimize standby power. A test chip has been implemented in a carefully selected 0.18 mum process in an area of only 915 times 915 mum2. Measurements show that Phoenix consumes 35.4 pW in standby mode and 226 nW in active mode.

[1]  T. Sakurai,et al.  A CMOS scheme for 0.5 V supply voltage with pico-ampere standby current , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[2]  David Blaauw,et al.  Theoretical and practical limits of dynamic voltage scaling , 2004, Proceedings. 41st Design Automation Conference, 2004..

[3]  Naveen Verma,et al.  A 65nm Sub-Vt Microcontroller with Integrated SRAM and Switched-Capacitor DC-DC Converter , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[4]  David Blaauw,et al.  Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[5]  Anantha P. Chandrakasan,et al.  Subthreshold Circuit Techniques , 2004 .

[6]  J. Rabaey,et al.  A Power-Managed Protocol Processor for Wireless Sensor Networks , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[7]  David Blaauw,et al.  Optimal technology selection for minimizing energy and variability in low voltage applications , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[8]  Daeyeon Kim,et al.  The Phoenix Processor: A 30pW platform for sensor applications , 2008, 2008 IEEE Symposium on VLSI Circuits.

[9]  David Blaauw,et al.  Robust ultra-low voltage ROM design , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[10]  Trevor N. Mudge,et al.  Improving code density using compression techniques , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[11]  Michael E. Wazlowski,et al.  IBM Memory Expansion Technology (MXT) , 2001, IBM J. Res. Dev..

[12]  Gabor Karsai,et al.  Smart Dust: communicating with a cubic-millimeter computer , 2001 .

[13]  David Blaauw,et al.  Low-voltage circuit design for widespread sensing applications , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[14]  David Blaauw,et al.  A Sub-200mV 6T SRAM in 0.13μm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[15]  Bo Zhai,et al.  Exploring Variability and Performance in a Sub-200-mV Processor , 2008, IEEE Journal of Solid-State Circuits.

[16]  David Blaauw,et al.  Energy optimization of subthreshold-voltage sensor network processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[17]  A. Chandrakasan,et al.  A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[18]  K. Sakamura,et al.  1-cc Computer: Cross-Layer Integration with 3.4-nW/bps Link and 22-cm Locationing , 2007, 2007 IEEE Symposium on VLSI Circuits.

[19]  Horst Richter,et al.  Initial investigations on systems for measuring intraocular pressure , 2000 .

[20]  David Blaauw,et al.  Nanometer Device Scaling in Subthreshold Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[21]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[22]  Bo Zhai,et al.  A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[23]  K.Y. Lee,et al.  A 0.18 /spl mu/m CMOS logic technology with dual gate oxide and low-k interconnect for high-performance and low-power applications , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).

[24]  Anantha Chandrakasan,et al.  Scaling of stack effect and its application for leakage reduction , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

[25]  David Blaauw,et al.  Energy Optimization of Subthreshold-Voltage Sensor Network Processors , 2005, ISCA 2005.