Bit-Exact ECC Recovery (BEER): Determining DRAM On-Die ECC Functions by Exploiting DRAM Data Retention Characteristics

Increasing single-cell DRAM error rates have pushed DRAM manufacturers to adopt on-die error-correction coding (ECC), which operates entirely within a DRAM chip to improve factory yield. The on-die ECC function and its effects on DRAM reliability are considered trade secrets, so only the manufacturer knows precisely how on-die ECC alters the externally-visible reliability characteristics. Consequently, on-die ECC obstructs third-party DRAM customers (e.g., test engineers, experimental researchers), who typically design, test, and validate systems based on these characteristicsTo give third parties insight into precisely how on-die ECC transforms DRAM error patterns during error correction, we introduce Bit-Exact ECC Recovery (BEER), a new methodology for determining the full DRAM on-die ECC function (i.e., its parity-check matrix) without hardware tools, prerequisite knowledge about the DRAM chip or on-die ECC mechanism, or access to ECC metadata (e.g., error syndromes, parity information). BEER exploits the key insight that non-intrusively inducing data-retention errors with carefully-crafted test pat-terns reveals behavior that is unique to a specific ECC functionWe use BEER to identify the ECC functions of 80 real LPDDR4 DRAM chips with on-die ECC from three major DRAM manufacturers. We evaluate BEER’s correctness in simulation and performance on a real system to show that BEER is effective and practical across a wide range of on-die ECC functions. To demonstrate BEER’s value, we propose and discuss several ways that third parties can use BEER to improve their design and testing practices. As a concrete example, we introduce and evaluate BEEP, the first error profiling method-ology that uses the known on-die ECC function to recover the number and bit-exact locations of unobservable raw bit errors responsible for observable post-correction errors.

[1]  Cheng-Wen Wu,et al.  Neighborhood pattern-sensitive fault testing and diagnostics for random-access memories , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Doris Schmitt-Landsiedel,et al.  DRAM Yield Analysis and Optimization by a Statistical Design Approach , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[3]  Vilas Sridharan,et al.  A study of DRAM failures in the field , 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

[4]  Carole-Jean Wu,et al.  Configurable-ECC: Architecting a Flexible ECC Scheme to Support Different Sized Accesses in High Bandwidth Memory Systems , 2019, IEEE Transactions on Computers.

[5]  J. Maiz,et al.  Characterization of multi-bit soft error events in advanced SRAMs , 2003, IEEE International Electron Devices Meeting 2003.

[6]  Norbert Wehn,et al.  Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs , 2015, MEMSYS.

[7]  Masashi Horiguchi,et al.  The impact of data-line interference noise on DRAM scaling , 1988 .

[8]  Onur Mutlu,et al.  HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness , 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[9]  Robert G. Gallager,et al.  Low-density parity-check codes , 1962, IRE Trans. Inf. Theory.

[10]  Bruce Jacob,et al.  Memory Systems: Cache, DRAM, Disk , 2007 .

[11]  T. Hamamoto,et al.  On the retention time distribution of dynamic random access memory (DRAM) , 1998 .

[12]  Jie Liu,et al.  Characterizing Application Memory Error Vulnerability to Optimize Datacenter Cost via Heterogeneous-Reliability Memory , 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

[13]  Jung Ho Ahn,et al.  Understanding DDR4 in pursuit of In-DRAM ECC , 2014, 2014 International SoC Design Conference (ISOCC).

[14]  Dick James,et al.  The State-of-the-Art in IC Reverse Engineering , 2009, CHES.

[15]  Onur Mutlu,et al.  ERRoR ANAlysIs AND RETENTIoN-AwARE ERRoR MANAgEMENT FoR NAND FlAsh MEMoRy , 2013 .

[16]  Cristiano Giuffrida,et al.  TRRespass: Exploiting the Many Sides of Target Row Refresh , 2020, 2020 IEEE Symposium on Security and Privacy (SP).

[17]  F. Moore,et al.  Polynomial Codes Over Certain Finite Fields , 2017 .

[18]  G. David Forney,et al.  Concatenated codes , 2009, Scholarpedia.

[19]  O. Antoine,et al.  Theory of Error-correcting Codes , 2022 .

[20]  Qian He,et al.  Theory study and implementation of configurable ECC on RRAM memory , 2015, 2015 15th Non-Volatile Memory Technology Symposium (NVMTS).

[21]  Onur Mutlu,et al.  Research Problems and Opportunities in Memory Systems , 2014, Supercomput. Front. Innov..

[22]  Herbert Bos,et al.  Exploiting Correcting Codes: On the Effectiveness of ECC Memory Against Rowhammer Attacks , 2019, 2019 IEEE Symposium on Security and Privacy (SP).

[23]  Kyungbae Park,et al.  Statistical distributions of row-hammering induced failures in DDR3 components , 2016, Microelectron. Reliab..

[24]  Mattan Erez,et al.  All-Inclusive ECC: Thorough End-to-End Protection for Reliable Computer Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[25]  T. Moon Error Correction Coding: Mathematical Methods and Algorithms , 2005 .

[26]  Ad J. van de Goor,et al.  Address and data scrambling: causes and impact on memory tests , 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.

[27]  O Seongil,et al.  Defect Analysis and Cost-Effective Resilience Architecture for Future DRAM Devices , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[28]  Onur Mutlu,et al.  Solar-DRAM: Reducing DRAM Access Latency by Exploiting the Variation in Local Bitlines , 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

[29]  Onur Mutlu,et al.  The reach profiler (REAPER): Enabling the mitigation of DRAM retention failures via profiling at aggressive conditions , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

[30]  Kyung-Tae Kim,et al.  23.3 A 4.8Gb/s/pin 2Gb LPDDR4 SDRAM with sub-100µA self-refresh current for IoT applications , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[31]  Kyungbae Park,et al.  Experiments and root cause analysis for active-precharge hammering fault in DDR3 SDRAM under 3 × nm technology , 2016, Microelectron. Reliab..

[32]  Onur Mutlu,et al.  Low-Cost Inter-Linked Subarrays (LISA): Enabling fast inter-subarray data movement in DRAM , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[33]  Eduardo Pinheiro,et al.  DRAM errors in the wild: a large-scale field study , 2009, SIGMETRICS '09.

[34]  Shimeng Yu,et al.  Metal–Oxide RRAM , 2012, Proceedings of the IEEE.

[35]  Yu Wang,et al.  Hi-fi playback: Tolerating position errors in shift operations of racetrack memory , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

[36]  Onur Mutlu,et al.  Ambit: In-Memory Accelerator for Bulk Bitwise Operations Using Commodity DRAM Technology , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[37]  David Wentzlaff,et al.  ComputeDRAM: In-Memory Compute Using Off-the-Shelf DRAMs , 2019, MICRO.

[38]  Onur Mutlu,et al.  Errors in Flash-Memory-Based Solid-State Drives: Analysis, Mitigation, and Recovery , 2017, ArXiv.

[39]  Shu Lin,et al.  Error control coding : fundamentals and applications , 1983 .

[40]  Onur Mutlu,et al.  The RowHammer problem and other issues we may face as memory becomes denser , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[41]  Onur Mutlu,et al.  EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM , 2019, MICRO.

[42]  D. J. Sheldon NASA NEPP Program Memory Technology - Testing, Analysis and Roadmap , 2016 .

[43]  Onur Mutlu,et al.  CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off , 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

[44]  Bruce F. Cockburn,et al.  An investigation into crosstalk noise in DRAM structures , 2002, Proceedings of the 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT2002).

[45]  Onur Mutlu,et al.  Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation , 2018, SIGMETRICS.

[46]  Nikolaj Bjørner,et al.  Z3: An Efficient SMT Solver , 2008, TACAS.

[47]  Soonyoung Cha,et al.  AVERT: An elaborate model for simulating variable retention time in DRAMs , 2015, Microelectron. Reliab..

[48]  Richard Veras,et al.  RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[49]  Onur Mutlu,et al.  An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms , 2013, ISCA.

[50]  Dae-Hyun Kim,et al.  ArchShield: architectural framework for assisting DRAM scaling by tolerating high error rates , 2013, ISCA.

[51]  Luca Benini,et al.  Optimized active and power-down mode refresh control in 3D-DRAMs , 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).

[52]  Jun Yang,et al.  Achieving Yield, Density and Performance Effective DRAM at Extreme Technology Sizes , 2015, MEMSYS.

[53]  M. Breitwisch Phase Change Memory , 2008, 2008 International Interconnect Technology Conference.

[54]  Onur Mutlu,et al.  A Case for Memory Content-Based Detection and Mitigation of Data-Dependent Failures in DRAM , 2017, IEEE Computer Architecture Letters.

[55]  Onur Mutlu,et al.  Self-Optimizing Memory Controllers: A Reinforcement Learning Approach , 2008, 2008 International Symposium on Computer Architecture.

[56]  Onur Mutlu,et al.  Adaptive-latency DRAM: Optimizing DRAM timing for the common-case , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[57]  Tao Zhang,et al.  Half-DRAM: A high-bandwidth and low-power DRAM architecture from the rethinking of fine-grained activation , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[58]  Hyoung-Joo Kim,et al.  A 3.2 Gbps/pin 8 Gbit 1.0 V LPDDR4 SDRAM With Integrated ECC Engine for Sub-1 V DRAM Core Operation , 2015, IEEE Journal of Solid-State Circuits.

[59]  Onur Mutlu,et al.  Memory scaling: A systems architecture perspective , 2013, 2013 5th IEEE International Memory Workshop.

[60]  Onur Mutlu,et al.  A case for exploiting subarray-level parallelism (SALP) in DRAM , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[61]  Moinuddin K. Qureshi,et al.  XED: Exposing On-Die Error Detection Information for Strong Memory Reliability , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[62]  Masashi Horiguchi,et al.  Nanoscale Memory Repair , 2011, Integrated Circuits and Systems.

[63]  Onur Mutlu,et al.  Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives , 2017, Proceedings of the IEEE.

[64]  J. Bibb Cain,et al.  Error-Correction Coding for Digital Communications , 1981 .

[65]  Kinam Kim,et al.  A New Investigation of Data Retention Time in Truly Nanoscaled DRAMs , 2009, IEEE Electron Device Letters.

[66]  Onur Mutlu,et al.  Understanding and Modeling On-Die Error Correction in Modern DRAM: An Experimental Study Using Real Devices , 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[67]  Krzysztof Iniewski Nano-Semiconductors , 2011 .

[68]  Hyun-Soo Park,et al.  23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb LPDDR4 SDRAM for wearable devices , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[69]  Yo-Hwan Koh,et al.  A low power and highly reliable 400Mbps mobile DDR SDRAM with on-chip distributed ECC , 2007, 2007 IEEE Asian Solid-State Circuits Conference.

[70]  Rachata Ausavarungnirun,et al.  Design-Induced Latency Variation in Modern DRAM Chips: Characterization, Analysis, and Latency Reduction Mechanisms , 2017, SIGMETRICS.

[71]  Armin Biere,et al.  Boolector: An Efficient SMT Solver for Bit-Vectors and Arrays , 2009, TACAS.

[72]  Onur Mutlu,et al.  SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[73]  Norbert Wehn,et al.  Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[74]  Yiran Chen,et al.  CD-ECC: Content-dependent error correction codes for combating asymmetric nonvolatile memory operation errors , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[75]  Yoshio Nishi,et al.  Advances in Non-volatile Memory and Storage Technology , 2014 .

[76]  H. Ohno,et al.  A multi-level-cell spin-transfer torque memory with series-stacked magnetotunnel junctions , 2010, 2010 Symposium on VLSI Technology.

[77]  Norbert Wehn,et al.  Thermal Aspects and High-Level Explorations of 3D Stacked DRAMs , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

[78]  Onur Mutlu,et al.  D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput , 2018, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[79]  W. Robert Daasch,et al.  Copula Models of Correlation: A DRAM Case Study , 2014, IEEE Transactions on Computers.

[80]  Qiang Wu,et al.  Revisiting Memory Errors in Large-Scale Production Data Centers: Analysis and Modeling of New Trends from the Field , 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

[81]  Rami G. Melhem,et al.  Refresh Now and Then , 2014, IEEE Transactions on Computers.

[82]  A. J. van de Goor,et al.  Testing Semiconductor Memories: Theory and Practice , 1998 .

[83]  Rachata Ausavarungnirun,et al.  RowClone: Fast and energy-efficient in-DRAM bulk data copy and initialization , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[84]  Mahmut T. Kandemir,et al.  Evaluating STT-RAM as an energy-efficient main memory alternative , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[85]  Dwijendra K. Ray-Chaudhuri,et al.  Binary mixture flow with free energy lattice Boltzmann methods , 2022, arXiv.org.

[86]  Norbert Wehn,et al.  Exploiting expendable process-margins in DRAMs for run-time performance optimization , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[87]  Said Hamdioui,et al.  Effects of bit line coupling on the faulty behavior of DRAMs , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[88]  Jongmoo Choi,et al.  Decoupled Direct Memory Access: Isolating CPU and IO Traffic by Leveraging a Dual-Data-Port DRAM , 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

[89]  T. May,et al.  Alpha-particle-induced soft errors in dynamic memories , 1979, IEEE Transactions on Electron Devices.

[90]  Norbert Wehn,et al.  Reverse Engineering of DRAMs: Row Hammer with Crosshair , 2016, MEMSYS.

[91]  Chris Fallin,et al.  Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[92]  D. Yaney,et al.  A meta-stable leakage phenomenon in DRAM charge storage —Variable hold time , 1987, 1987 International Electron Devices Meeting.

[93]  Bart Selman,et al.  Satisfiability Solvers , 2008, Handbook of Knowledge Representation.

[94]  Onur Mutlu,et al.  Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques , 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

[95]  D James Silicon Chip Teardown to the Atomic Scale – Challenges Facing the Reverse Engineering of Semiconductors , 2010 .

[96]  D.P. Siewiorek,et al.  Testing of digital systems , 1981, Proceedings of the IEEE.

[97]  Hongzhong Zheng,et al.  Co-Architecting Controllers and DRAM to Enhance DRAM Process Scaling , 2014 .

[98]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[99]  Andreas Peter Burg,et al.  Silicon-Proven, Per-Cell Retention Time Distribution Model for Gain-Cell Based eDRAMs , 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

[100]  Marie Pelleau,et al.  1 – State of the Art , 2015 .

[101]  Isil Dillig,et al.  Minimum Satisfying Assignments for SMT , 2012, CAV.

[102]  Onur Mutlu,et al.  Simultaneous Multi-Layer Access , 2016, ACM Trans. Archit. Code Optim..

[103]  Hsien-Hsin S. Lee,et al.  Tri-level-cell phase change memory: toward an efficient and reliable memory system , 2013, ISCA.

[104]  Onur Mutlu,et al.  Understanding Latency Variation in Modern DRAM Chips: Experimental Characterization, Analysis, and Optimization , 2016, SIGMETRICS.

[105]  Mattan Erez,et al.  DRAM Scaling Error Evaluation Model Using Various Retention Time , 2017, 2017 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).

[106]  Xinmiao Zhang VLSI Architectures for Modern Error-Correcting Codes , 2015 .

[107]  Onur Mutlu,et al.  AVATAR: A Variable-Retention-Time (VRT) Aware Refresh for DRAM Systems , 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

[108]  Sungjoo Hong,et al.  Memory technology trend and future challenges , 2010, 2010 International Electron Devices Meeting.

[109]  W. Cary Huffman,et al.  Fundamentals of Error-Correcting Codes , 1975 .

[110]  Onur Mutlu,et al.  Understanding Reduced-Voltage Operation in Modern DRAM Devices , 2017, Proc. ACM Meas. Anal. Comput. Syst..

[111]  R. Dean Adams,et al.  High Performance Memory Testing: Design Principles, Fault Modeling and Self-Test , 2002 .

[112]  Timothy J. Dell,et al.  A white paper on the benefits of chipkill-correct ecc for pc server main memory , 1997 .

[113]  Onur Mutlu,et al.  Detecting and Mitigating Data-Dependent DRAM Failures by Exploiting Current Memory Content , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[114]  Hong Shick Min,et al.  Modeling of retention time distribution of DRAM cell using a Monte-Carlo method , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[115]  John Shalf,et al.  Memory Errors in Modern Systems: The Good, The Bad, and The Ugly , 2015, ASPLOS.

[116]  Eric Rotenberg,et al.  Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

[117]  Onur Mutlu,et al.  In-DRAM Bulk Bitwise Execution Engine , 2019, ArXiv.

[118]  Onur Mutlu,et al.  RowHammer: A Retrospective , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[119]  Feng Lin,et al.  DRAM Circuit Design: Fundamental and High-Speed Topics , 2007 .

[120]  Rüdiger L. Urbanke,et al.  Modern Coding Theory , 2008 .

[121]  Onur Mutlu,et al.  CROW: A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability , 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

[122]  Norbert Wehn,et al.  Improving the error behavior of DRAM by exploiting its Z-channel property , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[123]  Vijayalakshmi Srinivasan,et al.  Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.

[124]  Onur Mutlu,et al.  The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices , 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[125]  Rami G. Melhem,et al.  Mitigating bitline crosstalk noise in DRAM memories , 2017, MEMSYS.

[126]  Mattan Erez,et al.  DUO: Exposing On-Chip Redundancy to Rank-Level ECC for High Reliability , 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[127]  Takeshi Hamamoto,et al.  Well concentration: a novel scaling limitation factor derived from DRAM retention time and its modeling , 1995, Proceedings of International Electron Devices Meeting.

[128]  Криночкин Роман,et al.  ТВЕРДОТЕЛЬНЫЕ НАКОПИТЕЛИ КОМПАНИИ SMART MODULAR TECHNOLOGIES , 2012 .

[129]  Onur Mutlu,et al.  PARBOR: An Efficient System-Level Technique to Detect Data-Dependent Failures in DRAM , 2016, 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[130]  Soumitra Pal,et al.  Design of Power- and Variability-Aware Nonvolatile RRAM Cell Using Memristor as a Memory Element , 2019, IEEE Journal of the Electron Devices Society.

[131]  Ireneusz Mrozek,et al.  Multi-run Memory Tests for Pattern Sensitive Faults , 2018 .

[132]  Sukhan Lee,et al.  CiDRA: A cache-inspired DRAM resilience architecture , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[133]  Richard W. Hamming,et al.  Error detecting and error correcting codes , 1950 .

[134]  Armin Biere,et al.  A survey of recent advances in SAT-based formal verification , 2005, International Journal on Software Tools for Technology Transfer.

[135]  Onur Mutlu,et al.  Improving DRAM performance by parallelizing refreshes with accesses , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

[136]  Kiyoo Itoh,et al.  Vlsi Memory Chip Design , 2006 .

[137]  Onur Mutlu,et al.  Error patterns in MLC NAND flash memory: Measurement, characterization, and analysis , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[138]  David Hinkley,et al.  Bootstrap Methods: Another Look at the Jackknife , 2008 .

[139]  Stuart Parkin,et al.  Memory on the racetrack. , 2015, Nature nanotechnology.

[140]  Jan Peter van Zandwijk,et al.  Bit-errors as a source of forensic information in NAND-flash memory , 2017, Digit. Investig..

[141]  J. Ehrmann,et al.  Challenges and Future Directions of Laser Fuse Processing in Memory Repair , 2003 .

[142]  Yiran Chen,et al.  Multi-level cell STT-RAM: Is it realistic or just a dream? , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[143]  Onur Mutlu,et al.  The efficacy of error mitigation techniques for DRAM retention failures: a comparative experimental study , 2014, SIGMETRICS '14.

[144]  Yuan Zhou Introduction to Coding Theory , 2010 .

[145]  Jan Peter van Zandwijk,et al.  A mathematical approach to NAND flash-memory descrambling and decoding , 2015, Digit. Investig..

[146]  Onur Mutlu,et al.  Tiered-latency DRAM: A low latency and low cost DRAM architecture , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[147]  Y. Konishi,et al.  Analysis of coupling noise between adjacent bit lines in megabit DRAMs , 1989 .

[148]  Arnab Raha,et al.  D-PUF: An intrinsically reconfigurable DRAM PUF for device authentication in embedded systems , 2016, 2016 International Conference on Compliers, Architectures, and Sythesis of Embedded Systems (CASES).