A novel energy-efficient scheduling model for multi-core systems

Multi-core systems has evolved enormously during the last decade with the improvement in the integration technology which makes it possible to house large number of transistors on a single chip. Keeping large number of cores on a single chip results in heat dissipation, which is a serious problem and cannot be overlooked. To handle this, not only the green hardware but energy-efficient software techniques are also warranted. A scheduler allocates the jobs on the CPU cores keeping energy aspect in mind beside effective core utilization. The proposed scheduling model considers three aspects for energy optimization: applying DVFS technique at the instruction level, classifying and handling CPU-intensive and memory-intensive workload for better thermal management, and differentiating heterogeneous cores by asymmetric core characteristics for effective scheduling. The performance study of the proposed scheduler is done on various CPUs benchmark data and workload for some mathematical and computational problems. The results depict that the proposed method saves energy upto 20% over DVFS and 72.5% over non-DVFS state of the art models with an acceptable energy and performance trade-off.

[1]  Santanu Chattopadhyay,et al.  Thermal variance-aware application mapping for mesh based network-on-chip design using Kernighan-Lin partitioning , 2014, 2014 International Conference on Parallel, Distributed and Grid Computing.

[2]  Rami G. Melhem,et al.  Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[3]  Zahid Raza,et al.  Auction Based Resource Provisioning in Cloud Computing , 2018, SpringerBriefs in Computer Science.

[4]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[5]  Mehmet Bayram Yildirim,et al.  An ant colony optimization algorithm for load balancing in parallel machines with sequence-dependent setup times , 2012, Comput. Oper. Res..

[6]  Manuel Prieto,et al.  Survey of Energy-Cognizant Scheduling Techniques , 2013, IEEE Transactions on Parallel and Distributed Systems.

[7]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[8]  Kang G. Shin,et al.  Predicting thermal behavior for temperature management in time-critical multicore systems , 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).

[9]  R. Suleiman DYNAMIC VOLTAGE FREQUENCY SCALING ( DVFS ) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary , 2005 .

[10]  Zhenhua Duan,et al.  Efficient and scalable scheduling for performance heterogeneous multicore systems , 2012, J. Parallel Distributed Comput..

[11]  John Paul Shen,et al.  Mitigating Amdahl's law through EPI throttling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[12]  Albert Y. Zomaya,et al.  Observations on Using Genetic Algorithms for Dynamic Load-Balancing , 2001, IEEE Trans. Parallel Distributed Syst..

[13]  Chita R. Das,et al.  Towards characterizing cloud backend workloads: insights from Google compute clusters , 2010, PERV.

[14]  Hemangee K. Kapoor,et al.  Energy aware frame based fair scheduling , 2018, Sustain. Comput. Informatics Syst..

[15]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[16]  Manuel Prieto,et al.  Operating system support for mitigating software scalability bottlenecks on asymmetric multicore processors , 2010, CF '10.

[17]  Miodrag Potkonjak,et al.  Power optimization of variable voltage core-based systems , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[18]  Deo Prakash Vidyarthi,et al.  A GA based energy aware scheduler for DVFS enabled multicore systems , 2017, Computing.

[19]  Deo Prakash Vidyarthi,et al.  Improved scheduler for multi-core many-core systems , 2014, Computing.

[20]  Massoud Pedram,et al.  TAPP: Temperature-aware application mapping for NoC-based many-core processors , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[21]  Hao Wu,et al.  An improved formalization analysis approach to determine schedulability of global multiprocessor scheduling based on symbolic safety analysis and statistical model checking in smartphone systems , 2018, Cluster Computing.

[22]  Santanu Chattopadhyay,et al.  Thermal-Aware Application Mapping Strategy for Network-on-Chip Based System Design , 2018, IEEE Transactions on Computers.

[23]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[24]  Stacey Jeffery,et al.  HASS: a scheduler for heterogeneous multicore systems , 2009, OPSR.

[25]  Kenli Li,et al.  Energy-aware preemptive scheduling algorithm for sporadic tasks on DVS platform , 2013, Microprocess. Microsystems.

[26]  Ulrich Kremer,et al.  Compiler-directed dynamic voltage and frequency scaling for cpu power and energy reduction , 2003 .

[27]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[28]  Manuel Prieto,et al.  A comprehensive scheduler for asymmetric multicore systems , 2010, EuroSys '10.

[29]  Jianwei Yin,et al.  Workload Classification Model for Specializing Virtual Machine Operating System , 2013, 2013 IEEE Sixth International Conference on Cloud Computing.

[30]  Kang G. Shin,et al.  Real-time dynamic voltage scaling for low-power embedded operating systems , 2001, SOSP.

[31]  Kai Hwang,et al.  Advanced computer architecture - parallelism, scalability, programmability , 1992 .

[32]  Santanu Chattopadhyay,et al.  Thermal Uniformity-Aware Application Mapping for Network-on-Chip Design , 2014 .

[33]  Hui Wang,et al.  Energy-efficient task scheduling for DVFS-enabled heterogeneous computing systems using a linear programming approach , 2016, 2016 IEEE 35th International Performance Computing and Communications Conference (IPCCC).

[34]  Ya-Shu Chen,et al.  Adaptive thermal-aware task scheduling for multi-core systems , 2015, J. Syst. Softw..

[35]  Tajana Simunic,et al.  System-Level Power Management Using Online Learning , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[37]  Zoran A. Salcic,et al.  Temperature-aware task scheduling heuristics on Network-on-Chips , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[38]  Vivek Tiwari,et al.  Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[39]  Andreas Herkersdorf,et al.  Adaptive Reliability for Fault Tolerant Multicore Systems , 2017, 2017 Euromicro Conference on Digital System Design (DSD).

[40]  Mauro Olivieri,et al.  Theoretical system-level limits of power dissipation reduction under a performance constraint in VLSI microprocessor design , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[41]  Alexandre Yakovlev,et al.  Model-Free Runtime Management of Concurrent Workloads for Energy-Efficient Many-Core Heterogeneous Systems , 2018, 2018 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS).

[42]  Vanish Talwar,et al.  Using Asymmetric Single-ISA CMPs to Save Energy on Operating Systems , 2008, IEEE Micro.

[43]  Thomas Nolte,et al.  Limiting temperature gradients on many-cores by adaptive reallocation of real-time workloads , 2014, Proceedings of the 2014 IEEE Emerging Technology and Factory Automation (ETFA).

[44]  Deo Prakash Vidyarthi,et al.  An Energy Aware Cost Effective Scheduling Framework for Heterogeneous Cluster System , 2017, Future Gener. Comput. Syst..

[45]  Prasun Ghosal,et al.  Dynamic Task Mapping and Scheduling with Temperature-Awareness on Network-on-Chip based Multicore Systems , 2019, J. Syst. Archit..

[46]  Xiaobo Sharon Hu,et al.  Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[47]  Andrei Tchernykh,et al.  Characterization, modeling and scheduling of power consumption of scientific computing applications in multicores , 2019, Cluster Computing.

[48]  Jieun Choi,et al.  Interference-aware co-scheduling method based on classification of application characteristics from hardware performance counter using data mining , 2019, Cluster Computing.

[49]  Chao Wang,et al.  Frequency Affinity: Analyzing and Maximizing Power Efficiency in Multi-core Systems , 2012, 2012 IEEE 20th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

[50]  Rajesh Gupta,et al.  Profile-based dynamic voltage scheduling using program checkpoints , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.