Variation tolerant on-chip degradation sensors for dynamic reliability management systems

Abstract Aggressive technology scaling has inevitably led reliability become a key concern for modern ICs. With commonly existing Process, Voltage, and Temperature (PVT) variations inside a chip, lifetime reliability assurance becomes hardly possible without Dynamic Reliability Management (DRM). In order to maintain a chip’s lifetime reliability specification, we propose a novel DRM framework in this paper, which predicts hardware aging and take the necessary actions in order to extend the system lifetime and/or prevent system failure. To collect reliability data from the circuits we introduce aging sensor circuitries able to sense transistor’s threshold voltage degradation caused by NBTI/HCI stresses. Aging sensors are carefully designed under the context of severe PVT variations for current and future technologies, and simulations based on the TSMC 65 nm technology library show that a low temperature sensitivity as low as 0.29 mV/°C is achieved by our design, compared with 0.51 mV/°C for NBTI sensor and 0.325 mV/°C for HCI sensor from prior work; and a VDD variation sensitivity of 0.24 mV/mV is achieved by our design. The temperature deviation of our design is limited to 17% for extreme conditions and is about 5% at room temperature range, and the deviation of voltage is maximum 7% for extreme conditions and it is negligible at standard VDD.

[1]  David Blaauw,et al.  Process variation and temperature-aware reliability management , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[2]  Krzysztof Iniewski,et al.  A temperature, supply voltage compensated floating-gate MOS dosimeter using V/sub TH/ extractor , 2005, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05).

[3]  C.H. Kim,et al.  Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits , 2007, 2007 IEEE Symposium on VLSI Circuits.

[4]  Kaushik Roy,et al.  Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ , 2007, 2007 IEEE International Test Conference.

[5]  Ming Zhang,et al.  Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[6]  Bo Yang,et al.  Optimized Circuit Failure Prediction for Aging: Practicality and Promise , 2008, 2008 IEEE International Test Conference.

[7]  Shuguang Feng,et al.  Self-calibrating Online Wearout Detection , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[8]  Yu Cao,et al.  An efficient method to identify critical gates under circuit aging , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[9]  David Blaauw,et al.  Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[10]  J. Babcock,et al.  Dynamic recovery of negative bias temperature instability in p-type metal–oxide–semiconductor field-effect transistors , 2003 .

[11]  J. W. McPherson,et al.  Reliability challenges for 45nm and beyond , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[12]  S. Natarajan,et al.  Impact of negative bias temperature instability on digital circuit reliability , 2002, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320).

[13]  Wei Wang,et al.  On-Chip Aging Sensor Circuits for Reliable Nanometer MOSFET Digital Circuits , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[14]  Pradip Bose,et al.  The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..