Variation tolerant on-chip degradation sensors for dynamic reliability management systems
暂无分享,去创建一个
Sorin Cotofana | Liang Fang | Yao Wang | Marius Enachescu | Liang Fang | S. Cotofana | Yao Wang | M. Enachescu
[1] David Blaauw,et al. Process variation and temperature-aware reliability management , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[2] Krzysztof Iniewski,et al. A temperature, supply voltage compensated floating-gate MOS dosimeter using V/sub TH/ extractor , 2005, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05).
[3] C.H. Kim,et al. Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits , 2007, 2007 IEEE Symposium on VLSI Circuits.
[4] Kaushik Roy,et al. Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ , 2007, 2007 IEEE International Test Conference.
[5] Ming Zhang,et al. Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[6] Bo Yang,et al. Optimized Circuit Failure Prediction for Aging: Practicality and Promise , 2008, 2008 IEEE International Test Conference.
[7] Shuguang Feng,et al. Self-calibrating Online Wearout Detection , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[8] Yu Cao,et al. An efficient method to identify critical gates under circuit aging , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[9] David Blaauw,et al. Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[10] J. Babcock,et al. Dynamic recovery of negative bias temperature instability in p-type metal–oxide–semiconductor field-effect transistors , 2003 .
[11] J. W. McPherson,et al. Reliability challenges for 45nm and beyond , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[12] S. Natarajan,et al. Impact of negative bias temperature instability on digital circuit reliability , 2002, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320).
[13] Wei Wang,et al. On-Chip Aging Sensor Circuits for Reliable Nanometer MOSFET Digital Circuits , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.
[14] Pradip Bose,et al. The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..