Inter-Plane Communication Methods for 3-D ICs
暂无分享,去创建一个
[1] Giovanni De Micheli,et al. Analytical heat transfer model for thermal through-silicon vias , 2011, 2011 Design, Automation & Test in Europe.
[2] W. Dehaene,et al. Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.
[3] Yao-Wen Chang,et al. TSV-aware analytical placement for 3D IC designs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[4] Wen-Yan Yin,et al. Transmission characteristics of a coaxial through-silicon via (C-TSV) interconnect , 2011, 2011 IEEE International Symposium on Electromagnetic Compatibility.
[5] Giovanni De Micheli,et al. Power Distribution Paths for 3-D IC , 2009, GLSVLSI 2009.
[6] Eby G. Friedman,et al. Electrical modeling and characterization of 3-D vias , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[7] M. Kawano,et al. Three-Dimensional Packaging Technology for Stacked DRAM With 3-Gb/s Data Transfer , 2008, IEEE Transactions on Electron Devices.
[8] Jason Cong,et al. Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[9] C. Brunet-Manquat,et al. Low electrical resistance silicon through vias: technology and characterization , 2006, 56th Electronic Components and Technology Conference 2006.
[10] T. Sakurai,et al. Analysis and design of inductive coupling and transceiver circuit for inductive inter-chip wireless superconnect , 2005, IEEE Journal of Solid-State Circuits.
[11] Sachin S. Sapatnekar,et al. Thermal via placement in 3D ICs , 2005, ISPD '05.
[12] Stephen P. Boyd,et al. Simple accurate expressions for planar spiral inductances , 1999, IEEE J. Solid State Circuits.
[13] Eby G. Friedman,et al. Timing-driven via placement heuristics for three-dimensional ICs , 2008, Integr..
[14] Eby G. Friedman,et al. Interconnect-Based Design Methodologies for Three-Dimensional Integrated Circuits , 2009, Proceedings of the IEEE.
[15] Giovanni De Micheli,et al. Physical design tradeoffs in power distribution networks for 3-D ICs , 2010, 2010 17th IEEE International Conference on Electronics, Circuits and Systems.
[16] Luca Benini,et al. Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.
[17] So-Ra Kim,et al. 8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[18] R. Gutmann,et al. Wafer Level 3-D ICs Process Technology , 2008 .
[19] J.C. Guo,et al. A broadband and scalable model for on-chip inductors incorporating substrate and conductor loss effects , 2005, 2005 IEEE Radio Frequency integrated Circuits (RFIC) Symposium - Digest of Papers.
[20] Soha Hassoun,et al. Through-Silicon Via (TSV)-induced noise characterization and noise mitigation using coaxial TSVs , 2009, 2009 IEEE International Conference on 3D System Integration.
[21] Lei Jiang,et al. Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[22] Yuan Yuxiang,et al. Non-contact 10% efficient 36mW power delivery using on-chip inductor in 0.18-μm CMOS , 2007, 2007 IEEE Asian Solid-State Circuits Conference.
[23] Sung Kyu Lim,et al. Distributed TSV Topology for 3-D Power-Supply Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[24] T. Sakurai,et al. A 0.14 pJ/b Inductive-Coupling Transceiver With Digitally-Controlled Precise Pulse Shaping , 2008, IEEE Journal of Solid-State Circuits.
[25] Eugenio Culurciello,et al. Capacitive Inter-Chip Data and Power Transfer for 3-D VLSI , 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.
[26] Hiroshi Kawaguchi,et al. Chip-to-Chip Inductive Wireless Power Transmission System for SiP Applications , 2006, IEEE Custom Integrated Circuits Conference 2006.
[27] Joungho Kim,et al. Through silicon via (TSV) shielding structures , 2010, 19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems.
[28] Robert S. Patti,et al. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.
[29] Joungho Kim,et al. Development and Evaluation of 3-D SiP with Vertically Interconnected Through Silicon Vias (TSV) , 2007, 2007 Proceedings 57th Electronic Components and Technology Conference.
[30] TingTing Hwang,et al. A study on the trade-off among wirelength, number of TSV and placement with different size of TSV , 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.
[31] Jyh-Chyurn Guo,et al. A broadband and scalable model for on-chip inductors incorporating substrate and conductor loss effects , 2006, IEEE Transactions on Electron Devices.
[32] Kaustav Banerjee,et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[33] Giovanni De Micheli,et al. Performance analysis of 3-D monolithic integrated circuits , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).
[34] Tadahiro Kuroda,et al. Measurement of Inductive Coupling in Wireless Superconnect , 2005 .
[35] Young-Hyun Jun,et al. 8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.
[36] Gang Huang,et al. Power Delivery for 3D Chip Stacks: Physical Modeling and Design Implication , 2007, 2007 IEEE Electrical Performance of Electronic Packaging.
[37] Soha Hassoun,et al. Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[38] J. Wilson,et al. AC coupled interconnect for dense 3-D ICs , 2003, 2003 IEEE Nuclear Science Symposium. Conference Record (IEEE Cat. No.03CH37515).
[39] Giovanni De Micheli,et al. Power distribution paths in 3-D ICS , 2009, GLSVLSI '09.