Design Methodology for Synthesizing Resonant Clock Networks in the Presence of Dynamic Voltage/Frequency Scaling
暂无分享,去创建一个
[1] Yiyu Shi,et al. Opportunistic through-silicon-via inductor utilization in LC resonant clocks: Concept and algorithms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[2] R. Allmon,et al. High-performance microprocessor design , 1998, IEEE J. Solid State Circuits.
[3] Luca Benini,et al. Clock-tree power optimization based on RTL clock-gating , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[4] Matthew R. Guthaus,et al. Distributed LC Resonant Clock Grid Synthesis , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.
[5] Jiang Hu,et al. Combinatorial Algorithms for Fast Clock Mesh Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[6] Matthew R. Guthaus. Distributed LC resonant clock tree synthesis , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[7] Matthew R. Guthaus,et al. Library-aware resonant clock synthesis (LARCS) , 2012, DAC Design Automation Conference 2012.
[8] Marios C. Papaefthymiou,et al. Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor , 2013, IEEE Journal of Solid-State Circuits.
[9] Guilherme Flach,et al. High-performance clock mesh optimization , 2012, TODE.
[10] David Z. Pan,et al. MeshWorks: A Comprehensive Framework for Optimized Clock Mesh Network Synthesis , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] Y. Manoli,et al. Complex clock gating with integrated clock gating logic cell , 2007, 2007 International Conference on Design & Technology of Integrated Systems in Nanoscale Era.
[12] Vivek Tiwari,et al. Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[13] Massoud Pedram,et al. Gated clock routing for low-power microprocessor design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[14] Jan-Ming Ho,et al. Zero skew clock routing with minimum wirelength , 1992 .
[15] Jason Cong,et al. Bounded-skew clock and Steiner routing , 1998, TODE.
[16] Trevor Mudge,et al. Dynamic voltage scaling on a low-power microprocessor , 2001 .
[17] Marios C. Papaefthymiou,et al. Synthesis of resonant clock networks supporting dynamic voltage / frequency scaling , 2015, The 20th Asia and South Pacific Design Automation Conference.
[18] Diana Marculescu,et al. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[19] Masato Edahiro,et al. A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.
[20] Marek Cygan,et al. Exponential-time approximation of weighted set cover , 2009, Inf. Process. Lett..
[21] Massoud Pedram,et al. Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[22] Kang G. Shin,et al. Real-time dynamic voltage scaling for low-power embedded operating systems , 2001, SOSP.
[23] Phillip Restle,et al. 5.3 Wide-frequency-range resonant clock with on-the-fly mode changing for the POWER8TM microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[24] Wayne Wei-Ming Dai,et al. Buffer insertion and sizing under process variations for low power clock distribution , 1995, DAC '95.
[25] Malgorzata Marek-Sadowska Ashok Vittal. Power Optimal Buffered Clock Tree Design , 1995, 32nd Design Automation Conference.