A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory

Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2-5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical function capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

[1]  Katherine Yelick,et al.  A Case for Intelligent RAM: IRAM , 1997 .

[2]  Katherine Yelick,et al.  A Case for Intelligent DRAM: IRAM , 1998 .

[3]  William J. Dally,et al.  Smart Memories: a modular reconfigurable architecture , 2000, ISCA '00.

[4]  G. Edward Suh,et al.  Embedded intelligent SRAM , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[5]  Ali Sheikholeslami,et al.  A ternary content-addressable memory (TCAM) based on 4T static storage and including a current-race sensing scheme , 2003, IEEE J. Solid State Circuits.

[6]  M. Horowitz,et al.  Architecture and circuit techniques for a reconfigurable memory block , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[7]  K. Pagiamtzis,et al.  Content-addressable memory (CAM) circuits and architectures: a tutorial and survey , 2006, IEEE Journal of Solid-State Circuits.

[8]  Chingwei Yeh,et al.  High-Speed and Low-Power Design Techniques for TCAM Macros , 2008, IEEE Journal of Solid-State Circuits.

[9]  Shoji Ikeda,et al.  MTJ-based nonvolatile logic-in-memory circuit, future prospects and issues , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[10]  Yesh Kolla,et al.  A 45nm CMOS 13-port 64-word 41b fully associative content-addressable register file , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[11]  Chua-Chin Wang,et al.  A Self-Disabled Sensing Technique for Content-Addressable Memories , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[12]  Sanu Mathew,et al.  A 128×128b high-speed wide-and match-line content addressable memory in 32nm CMOS , 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

[13]  Wei Hwang,et al.  A 65 nm 0.165 fJ/Bit/Search 256 $\,\times\,$144 TCAM Macro Design for IPv6 Lookup Tables , 2011, IEEE Journal of Solid-State Circuits.

[14]  Byung-Do Yang,et al.  A Low Power Content Addressable Memory Using Low Swing Search Lines , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[15]  Peilin Song,et al.  1Mb 0.41 µm2 2T-2R cell nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing , 2013, 2013 Symposium on VLSI Circuits.

[16]  Hiroyuki Kawai,et al.  A 250-MHz 18-Mb Full Ternary CAM With Low-Voltage Matchline Sensing Scheme in 65-nm CMOS , 2013, IEEE Journal of Solid-State Circuits.

[17]  R. Ranica,et al.  FDSOI process/design full solutions for ultra low leakage, high speed and low voltage SRAMs , 2013, 2013 Symposium on VLSI Technology.

[18]  Kiat Seng Yeo,et al.  Design of a power-efficient CAM using automated background checking scheme for small match line swing , 2013, 2013 Proceedings of the ESSCIRC (ESSCIRC).

[19]  Igor Arsovski,et al.  A 32 nm 0.58-fJ/Bit/Search 1-GHz Ternary Content Addressable Memory Compiler Using Silicon-Aware Early-Predict Late-Correct Sensing With Embedded Deep-Trench Capacitor Noise Mitigation , 2013, IEEE Journal of Solid-State Circuits.

[20]  Franz Franchetti,et al.  A 3D-stacked logic-in-memory accelerator for application-specific data intensive computing , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

[21]  Koji Nii,et al.  13.6 A 28nm 400MHz 4-parallel 1.6Gsearch/s 80Mb ternary CAM , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[22]  Hayashi Isamu,et al.  A 28nm 400MHz 4-Parallel 1.6Gsearch/s 80Mb Ternary CAM , 2014 .

[23]  Jing Li,et al.  1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing , 2014, IEEE Journal of Solid-State Circuits.

[24]  David Blaauw,et al.  A configurable TCAM/BCAM/SRAM using 28nm push-rule 6T bit cell , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[25]  Kenneth C. Smith,et al.  Through the Looking Glass?The 2015 Edition: Trends in Solid-State Circuits from ISSCC , 2015, IEEE Solid-State Circuits Magazine.