On Voltage Acceleration Models of Time to Breakdown—Part II: Experimental Results and Voltage Dependence of Weibull Slope in the FN Regime

Based on the methodologies and experimental findings presented in Part I, we demonstrate the general applicability of the T BD exponential law of reciprocal voltage, T BD ~ exp(C/VG), in comparison with the T BD power-law model for SiO2-based dielectrics stressed in the FN regime. This is done for a wide range of oxide thicknesses and stressed in a wide range of stress voltages and temperatures. We also analyze the consistency of voltage acceleration models with the voltage dependence of the Weibull slope. This is done considering the failure percentile dependence of voltage acceleration and can explain the voltage-independent Weibull slopes reported for ultrathin oxides in the DT regime and the voltage-dependent Weibull slopes reported for thick oxides stressed in the FN regime. This paper demonstrates how the application of complementary analysis methodologies to a complete experimental database allows reaching sound conclusions about the voltage acceleration model of oxide breakdown, thus solving a long lasting controversy.

[1]  Eric M. Vogel,et al.  Impact of substrate hot hole injection on ultrathin silicon dioxide breakdown , 2003 .

[2]  Rolf-Peter Vollertsen,et al.  Voltage acceleration and t63.2 of 1.6-10 nm gate oxides , 2004, Microelectron. Reliab..

[3]  A. Bravaix,et al.  Ultra-thin gate oxide reliability in the ESD time domain , 2006, 2006 Electrical Overstress/Electrostatic Discharge Symposium.

[4]  J. Sune,et al.  Mechanisms of hydrogen release in the breakdown of SiO/sub 2/-based gate oxides , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[5]  Rainer Duschl,et al.  Reliability aspects of Hf-based capacitors: Breakdown and trapping effects , 2007, Microelectron. Reliab..

[6]  W. Abadeer,et al.  Modelling considerations and development of upper limits of stress conditions for dielectric breakdown projections , 1996 .

[7]  M. Kimura,et al.  Oxide breakdown mechanism and quantum physical chemistry for time-dependent dielectric breakdown , 1997, 1997 IEEE International Reliability Physics Symposium Proceedings. 35th Annual.

[8]  Bin Wang,et al.  Reliability of ultrathin silicon dioxide under combined substrate hot-electron and constant voltage tunneling stress , 2000 .

[9]  R.-P. Vollertsen,et al.  Dependence of dielectric time to breakdown distributions on test structure area , 1990, Proceedings of the 1991 International Conference on Microelectronic Test Structures.

[10]  Chenming Hu,et al.  Metal‐oxide‐semiconductor field‐effect‐transistor substrate current during Fowler–Nordheim tunneling stress and silicon dioxide reliability , 1994 .

[11]  James H. Stathis,et al.  Anode hole injection, defect generation, and breakdown in ultrathin silicon dioxide films , 2001 .

[12]  M. Kerber,et al.  Voltage Acceleration of TBD and Its Correlation to Post Breakdown Conductivity of N- and P-Channel MOSFETs , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[13]  Chenming Hu,et al.  Statistical modeling of silicon dioxide reliability , 1988 .

[14]  R.-P. Vollertsen,et al.  Voltage acceleration of oxide breakdown in the sub-10 nm Fowler-Nordheim and direct tunneling regime , 2005, 2005 IEEE International Integrated Reliability Workshop.

[15]  J. Jopling,et al.  Dielectric breakdown in a 45 nm high-k/metal gate process technology , 2008, 2008 IEEE International Reliability Physics Symposium.

[16]  W. R. Hunter,et al.  Experimental evidence for voltage driven breakdown models in ultrathin gate oxides , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[17]  A. Ghetti,et al.  Field acceleration for oxide breakdown-can an accurate anode hole injection model resolve the E vs. 1/E controversy? , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[18]  J. T. Clemens,et al.  Field dependent critical trap density for thin gate oxide breakdown , 1999, 1999 IEEE International Reliability Physics Symposium Proceedings. 37th Annual (Cat. No.99CH36296).

[19]  J. McPherson,et al.  Acceleration Factors for Thin Gate Oxide Stressing , 1985, 23rd International Reliability Physics Symposium.

[20]  K. Shiga,et al.  Study of oxide breakdown under very low electric field , 1999, 1999 IEEE International Reliability Physics Symposium Proceedings. 37th Annual (Cat. No.99CH36296).

[21]  K. Olasupo,et al.  Time dependent breakdown of ultrathin gate oxide , 2000 .

[22]  R.-P. Vollertsen,et al.  Long term gate dielectric stress -- a timely method? , 2006, 2006 International Electron Devices Meeting.

[23]  E. Rosenbaum,et al.  Gate oxide reliability under ESD-like pulse stress , 2004, IEEE Transactions on Electron Devices.

[24]  J. Kurihara,et al.  Breakdown Voltage Prediction of Ultra-Thin Gate Insulator in Electrostatic Discharge (ESD) Based on Anode Hole Injection Model , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[25]  J. Sune,et al.  New insights in polarity-dependent oxide breakdown for ultrathin gate oxide , 2002, IEEE Electron Device Letters.

[26]  Bonnie E. Weir,et al.  Gate dielectric breakdown in the time-scale of ESD events , 2005, Microelectron. Reliab..

[27]  J. Sune,et al.  New physics-based analytic approach to the thin-oxide breakdown statistics , 2001, IEEE Electron Device Letters.

[28]  Jordi Suñé,et al.  On the Weibull shape factor of intrinsic breakdown of dielectric films and its accurate experimental determination. Part II: experimental results and the effects of stress conditions , 2002 .

[29]  K. Matocha,et al.  Time-Dependent Dielectric Breakdown of 4H-SiC MOS Capacitors and DMOSFETs , 2008, IEEE Transactions on Electron Devices.

[30]  D. Dimaria,et al.  Electron energy dependence of metal-oxide-semiconductor degradation , 1999 .

[31]  Jordi Suñé,et al.  Experimental evidence of T/sub BD/ power-law for voltage dependence of oxide breakdown in ultrathin gate oxides , 2002 .

[32]  J. Stathis Percolation models for gate oxide breakdown , 1999 .

[33]  Rolf-Peter Vollertsen,et al.  Thin dielectric reliability assessment for DRAM technology with deep trench storage node , 2003, Microelectron. Reliab..

[34]  G. Reimbold,et al.  Analysis of oxide breakdown mechanism occurring during ESD pulses , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[35]  Shih-Hsien Lo,et al.  Tunneling current characteristics and oxide breakdown in P+ poly gate PFET capacitors , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[36]  T. Pompl,et al.  Change of acceleration behavior of time-dependent dielectric breakdown by the BEOL process: indications for hydrogen induced transition in dominant degradation mechanism , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[37]  Ernest Y. Wu,et al.  Determination of ultra-thin oxide voltages and thickness and the impact on reliability projection , 1997, 1997 IEEE International Reliability Physics Symposium Proceedings. 35th Annual.

[38]  G. Ghibaudo,et al.  New Understanding on the Breakdown of High-K Dielectric Stacks using Multi-Vibrational Hydrogen Release Model , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[39]  D. Dimaria,et al.  Explanation for the polarity dependence of breakdown in ultrathin silicon dioxide films , 1996 .

[40]  G. Ghidini,et al.  Oxide Reliability: a new Methodology for Reliability Evaluation at Parametric Testing , 2006, 2006 IEEE International Integrated Reliability Workshop Final Report.

[41]  R. Degraeve,et al.  Oxide and interface degradation and breakdown under medium and high field injection conditions: a correlation study , 1995 .

[42]  Y. Nissan-Cohen,et al.  The effect of hydrogen on trap generation, positive charge trapping, and time-dependent dielectric breakdown of gate oxides , 1988, IEEE Electron Device Letters.

[43]  Ernest Y. Wu,et al.  On the Weibull shape factor of intrinsic breakdown of dielectric films and its accurate experimental determination. Part I: theory, methodology, experimental techniques , 2002 .

[44]  D. Arnold,et al.  Impact ionization, trap creation, degradation, and breakdown in silicon dioxide films on silicon , 1993 .

[45]  S. Oussalah,et al.  Field Acceleration Model for TDDB: Still a Valid Tool to Study the Reliability of Thick $\hbox{SiO}_{2}$-Based Dielectric Layers? , 2007, IEEE Transactions on Electron Devices.

[46]  Karl Hess,et al.  Reliability scaling issues for nanoscale devices , 2003 .

[47]  Ernest Y. Wu,et al.  Comprehensive physics-based breakdown model for reliability assessment of oxides with thickness ranging from 1 nm up to 12 nm , 2009, 2009 IEEE International Reliability Physics Symposium.

[48]  P.J. Silverman,et al.  Explanation of stress-induced damage in thin oxides , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[49]  J. Suñé,et al.  Hydrogen-release mechanisms in the breakdown of thin SiO2 films. , 2004, Physical review letters.

[50]  Prasad Chaparala,et al.  Field and temperature acceleration of time-dependent dielectric breakdown in intrinsic thin SiO/sub 2/ , 1994, Proceedings of 1994 IEEE International Reliability Physics Symposium.

[51]  Guido Groeseneken,et al.  A consistent model for the thickness dependence of intrinsic breakdown in ultra-thin oxides , 1995, Proceedings of International Electron Devices Meeting.

[52]  J.W. McPherson,et al.  Disturbed bonding states in SiO/sub 2/ thin-films and their impact on time-dependent dielectric breakdown , 1998, 1998 IEEE International Reliability Physics Symposium Proceedings. 36th Annual (Cat. No.98CH36173).

[53]  W. W. Abadeer,et al.  Upper voltage and temperature limitations of stress conditions for relevant dielectric breakdown projections , 1995 .

[54]  C. Hu,et al.  A unified gate oxide reliability model , 1999, 1999 IEEE International Reliability Physics Symposium Proceedings. 37th Annual (Cat. No.99CH36296).

[55]  W. W. Abadeer,et al.  Correlation between theory and data for mechanisms leading to dielectric breakdown , 1994, Proceedings of 1994 VLSI Technology Symposium.

[56]  M. Kerber,et al.  From wafer-level gate-oxide reliability towards ESD failures in advanced CMOS technologies , 2006, IEEE Transactions on Electron Devices.

[57]  N. Shiono,et al.  A lifetime projection method using series model and acceleration factors for TDDB failures of thin gate oxides , 1993, 31st Annual Proceedings Reliability Physics 1993.

[58]  K. Banerjee,et al.  Comparison of E and 1/E TDDB models for SiO/sub 2/ under long-term/low-field test conditions , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).