Extending trace history through tapered summaries in post-silicon validation

On-chip trace buffers are increasingly being used for at-speed debug during post-silicon validation. However, the activity history captured by these buffers is small due to their limited size. We propose a novel scheme that extends the captured trace history (by upto 162%) by using a portion of the trace buffer to also store summaries of trace messages. We describe an Overlapped trace buffer architecture that uses a reduced number of ports to capture tapered summaries where both detailed and summary versions of traces are stored simultaneously. We demonstrate the usefulness of the proposed methodology for debugging various classes of bugs encountered during post-silicon validation.

[1]  Tommy Bojan,et al.  Functional coverage measurements and results in post-Silicon validation of Core™2 duo family , 2007, 2007 IEEE International High Level Design Validation and Test Workshop.

[2]  Valeria Bertacco,et al.  Post-silicon bug diagnosis with inconsistent executions , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[3]  Kees G. W. Goossens,et al.  A distributed architecture to check global properties for post-silicon debug , 2010, 2010 15th IEEE European Test Symposium.

[4]  Zeljko Zilic,et al.  On a New Mechanism of Trigger Generation for Post-Silicon Debugging , 2014, IEEE Transactions on Computers.

[5]  Kees G. W. Goossens,et al.  Debugging Distributed-Shared-Memory Communication at Multiple Granularities in Networks on Chip , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[6]  Valeria Bertacco,et al.  Functional post-silicon diagnosis and debug for networks-on-chip , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[7]  Nur A. Touba,et al.  Improved Trace Buffer Observation via Selective Data Capture Using 2-D Compaction for Post-Silicon Debug , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Masahiro Fujita,et al.  Transaction-based debugging of system-on-chips with patterns , 2009, 2009 IEEE International Conference on Computer Design.

[9]  Prabhat Mishra,et al.  Efficient trace data compression using statically selected dictionary , 2011, 29th VLSI Test Symposium.

[10]  Chun-Hung Lai,et al.  A Versatile Data Cache for Trace Buffer Support , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[11]  Subhasish Mitra,et al.  IFRA: Instruction Footprint Recording and Analysis for post-silicon bug localization in processors , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[12]  Nicola Nicolici,et al.  On Using Lossy Compression for Repeatable Experiments during Silicon Debug , 2011, IEEE Transactions on Computers.

[13]  Qiang Xu,et al.  On Multiplexed Signal Tracing for Post-Silicon Validation , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Nicola Nicolici,et al.  Mapping Trigger Conditions onto Trigger Units during Post-silicon Validation and Debugging , 2012, IEEE Transactions on Computers.

[15]  Chih-Tsun Huang,et al.  Application-level embedded communication tracer for many-core systems , 2015, The 20th Asia and South Pacific Design Automation Conference.

[16]  Josep Torrellas,et al.  Phoenix: Detecting and Recovering from Permanent Processor Design Bugs with Programmable Hardware , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[17]  Klaus D. McDonald-Maier,et al.  Debug support for complex systems on-chip: a review , 2006 .

[18]  Allon Adir,et al.  Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).