Switched-Capacitor-Assisted Power Gating for Ultra-Low Standby Power in CMOS Digital ICs

This article presents Switched-Capacitor assisted Power Gating (SwCap PG) for reducing the leakage currents of large digital circuits. For the first time, PG switch is biased in the super turn-off and the super turn-on mode during the off-state and the on-state, respectively. A simple switched-capacitor network reconfigures and biases the PG switch in four different possible states with low area and power overhead. During the super turn-off, voltage stress is avoided in the PG switch when the circuit load uses supply voltage equal to the nominal <inline-formula> <tex-math notation="LaTeX">$\text{V}_{\mathbf {DD}}$ </tex-math></inline-formula> in a given technology, and maximum possible leakage current reduction is achieved by the optimal biasing of the gate voltage. The proposed SwCap PG is experimentally validated in the 180nm CMOS technology. Measurement results of CMOS SwCap PG show that leakage current and <inline-formula> <tex-math notation="LaTeX">$\text{R}_{\mathbf {ON}}$ </tex-math></inline-formula> reduce by 186-<inline-formula> <tex-math notation="LaTeX">$226\times $ </tex-math></inline-formula> and 18% respectively, as compared to the conventional PG. An alternate solution for SwCap network using MEMS devices as the switching elements is implemented for additional benefits. Measurement results of MEMS SwCap PG show that leakage current and <inline-formula> <tex-math notation="LaTeX">$\text{R}_{\mathbf {ON}}$ </tex-math></inline-formula> reduce by <inline-formula> <tex-math notation="LaTeX">$172\times $ </tex-math></inline-formula> and 26% respectively, compared to the conventional PG. Finally, the applicability of the SwCap PG in the nano-scale CMOS technologies is addressed.

[1]  Y. Tsividis Operation and modeling of the MOS transistor , 1987 .

[2]  Takayasu Sakurai,et al.  Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[3]  T. Sakurai,et al.  A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current , 2000, IEEE Journal of Solid-State Circuits.

[4]  S. Borkar,et al.  Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[5]  Hiroshi Kawaguchi,et al.  Leakage-suppressed clock-gating circuit with Zigzag Super Cut-off CMOS (ZSCCMOS) for leakage-dominant sub-70-nm and sub-1-V-V/sub DD/ LSIs , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Per Larsson-Edefors,et al.  Overdrive Power-Gating Techniques for Total Power Minimization , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[7]  Edith Beigné,et al.  Automatic Gate Biasing of an SCCMOS Power Switch Achieving Maximum Leakage Reduction and Lowering Leakage Current Variability , 2008, IEEE Journal of Solid-State Circuits.

[8]  A. Inoue,et al.  A Sub-μs wake-up time power gating technique with bypass power line for rush current support , 2008, 2008 IEEE Symposium on VLSI Circuits.

[9]  A. Inoue,et al.  A Sub-$\mu$ s Wake-Up Time Power Gating Technique With Bypass Power Line for Rush Current Support , 2009, IEEE Journal of Solid-State Circuits.

[10]  Vladimir Stojanovic,et al.  Analysis and demonstration of MEM-relay power gating , 2010, IEEE Custom Integrated Circuits Conference 2010.

[11]  Elad Alon,et al.  Demonstration of Integrated Micro-Electro-Mechanical Relay Circuits for VLSI Applications , 2011, IEEE Journal of Solid-State Circuits.

[12]  Joseph Shor,et al.  A Fully Integrated Multi-CPU, Processor Graphics, and Memory Controller 32-nm Processor , 2012, IEEE Journal of Solid-State Circuits.

[13]  Chingwei Yeh,et al.  Self-super-cutoff power gating with state retention on a 0.3V 0.29fJ/cycle/gate 32b RISC core in 0.13µm CMOS , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[14]  B. Giraud,et al.  DTMOS power switch in 28 nm UTBB FD-SOI technology , 2013, 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

[15]  Michael B. Henry,et al.  NEMS-Based Functional Unit Power-Gating: Design, Analysis, and Optimization , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[16]  T. Kataoka,et al.  A 28nm High-κ metal-gate single-chip communications processor with 1.5GHz dual-core application processor and LTE/HSPA+-capable baseband processor , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[17]  David Blaauw,et al.  Achieving Ultralow Standby Power With an Efficient SCCMOS Bias Generator , 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

[18]  Yingchieh Ho,et al.  A 48.6-to-105.2 µW Machine Learning Assisted Cardiac Sensor SoC for Mobile Healthcare Applications , 2014, IEEE Journal of Solid-State Circuits.

[19]  Dong-Wook Lee,et al.  23.1 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core GPU for high-performance and energy-efficient mobile application processor , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[20]  J. Lee,et al.  A 14 nm SoC platform technology featuring 2nd generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 SRAM cells, optimized for low power, high performance and high density SoC products , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[21]  Uming Ko,et al.  23.3 A highly integrated smartphone SoC featuring a 2.5GHz octa-core CPU with advanced high-performance and low-power techniques , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[22]  Youngmin Shin,et al.  20nm High-K metal gate heterogeneous 64-bit quad-core CPUs and hexa-core GPU for high-performance and energy-efficient mobile application processor , 2015, 2015 International SoC Design Conference (ISOCC).

[23]  Niclas Roxhed,et al.  Integrating MEMS and ICs , 2015 .

[24]  David Blaauw,et al.  8.2 Batteryless Sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[25]  Arun Paidimarri,et al.  Ultra Low-Energy Relaxation Oscillator With 230 fJ/cycle Efficiency , 2016, IEEE Journal of Solid-State Circuits.

[26]  Po-Hung Chen,et al.  A 50 nW-to-10 mW Output Power Tri-Mode Digital Buck Converter With Self-Tracking Zero Current Detection for Photovoltaic Energy Harvesting , 2016, IEEE Journal of Solid-State Circuits.

[27]  Pranay Prabhat,et al.  A Subthreshold ARM Cortex-M0+ Subsystem in 65 nm CMOS for WSN Applications with 14 Power Domains, 10T SRAM, and Integrated Voltage Regulator , 2016, IEEE Journal of Solid-State Circuits.

[28]  James Tschanz,et al.  Postsilicon Voltage Guard-Band Reduction in a 22 nm Graphics Execution Core Using Adaptive Voltage Scaling and Dynamic Power Gating , 2017, IEEE Journal of Solid-State Circuits.

[29]  Maryam Shojaei Baghini,et al.  Considerations for Static Energy Reduction in Digital CMOS ICs Using NEMS Power Gating , 2017, IEEE Transactions on Electron Devices.

[30]  S. Mudanai,et al.  22FFL: A high performance and ultra low power FinFET technology for mobile and RF applications , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[31]  David Blaauw,et al.  A Subthreshold Voltage Reference With Scalable Output Voltage for Low-Power IoT Systems , 2017, IEEE Journal of Solid-State Circuits.

[32]  Po-Hung Chen,et al.  An 82.1%-Power-Efficiency Single-Inductor Triple-Source Quad-Mode Energy Harvesting Interface with Automatic Source Selection and Reversely Polarized Energy Recycling , 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

[33]  S. Jung,et al.  3nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[34]  Jinn-Shyan Wang,et al.  A 0.2 V 32-Kb 10T SRAM With 41 nW Standby Power for IoT Applications , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

[35]  Mingoo Seok,et al.  A fW- and kHz-Class Feedforward Leakage Self-Suppression Logic Requiring No External Sleep Signal to Enter the Leakage Suppression Mode , 2018, IEEE Solid-State Circuits Letters.

[36]  Xiaohua Kong,et al.  Analog/mixed-signal design challenges in 7-nm CMOS and beyond , 2018, 2018 IEEE Custom Integrated Circuits Conference (CICC).

[37]  Yu Pu,et al.  A 9-mm2 Ultra-Low-Power Highly Integrated 28-nm CMOS SoC for Internet of Things , 2018, IEEE Journal of Solid-State Circuits.

[38]  Fady Abouzeid,et al.  A 2.7 pJ/cycle 16 MHz, 0.7 $\mu\text{W}$ Deep Sleep Power ARM Cortex-M0+ Core SoC in 28 nm FD-SOI , 2018, IEEE Journal of Solid-State Circuits.

[39]  Xin Fan,et al.  A Cortex-M3 Based MCV Featuring AVS with 34nW Static Power, 15.3pJ/inst. Active Energy, and 16% Power Variation Across Process and Temperature , 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

[40]  Benton H. Calhoun,et al.  A 6–140-nW 11 Hz–8.2-kHz DVFS RISC-V Microprocessor Using Scalable Dynamic Leakage-Suppression Logic , 2019, IEEE Solid-State Circuits Letters.

[41]  J. Ryckaert,et al.  Enabling Sub-5nm CMOS Technology Scaling Thinner and Taller! , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[42]  C. Hu,et al.  Monolithic 3D BEOL FinFET switch arrays using location-controlled-grain technique in voltage regulator with better FOM than 2D regulators , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[43]  Sanjeev Khushu,et al.  8.1 Lakefield and Mobility Compute: A 3D Stacked 10nm and 22FFL Hybrid Processor System in 12×12mm2, 1mm Package-on-Package , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[44]  V. Rao,et al.  Sub-50-mV Nanoelectromechanical Switch Without Body Bias , 2020, IEEE Transactions on Electron Devices.