WIDTH-ADAPTIVE AND NON-UNIFORM ACCESS
暂无分享,去创建一个
[1] Kenneth C. Yeager. The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.
[2] Alain J. Martin. Compiling communicating processes into delay-insensitive VLSI circuits , 2005, Distributed Computing.
[3] Alain J. Martin,et al. Precise exceptions in asynchronous processors , 2001, Proceedings 2001 Conference on Advanced Research in VLSI. ARVLSI 2001.
[4] Mike Johnson,et al. Superscalar microprocessor design , 1991, Prentice Hall series in innovative technology.
[5] Ted Eugene Williams,et al. Self-timed rings and their application to division , 1992 .
[6] J.F. Martinez,et al. Cherry: Checkpointed early resource recycling in out-of-order microprocessors , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[7] Jim D. Garside,et al. AMULET3: a high-performance self-timed ARM microprocessor , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[8] C. A. R. Hoare,et al. Communicating Sequential Processes (Reprint) , 1983, Commun. ACM.
[9] Rajeev Balasubramonian,et al. Reducing the complexity of the register file in dynamic superscalar processors , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[10] Takashi Nanya,et al. TITAC-2: an asynchronous 32-bit microprocessor based on scalable-delay-insensitive model , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[11] David Harris,et al. Skew-Tolerant Circuit Design , 2000 .
[12] De-Yu Kao,et al. Optimization of power dissipation and skew sensitivity in clock buffer synthesis , 1995, ISLPED '95.
[13] Nader Bagherzadeh,et al. A scalable register file architecture for dynamically scheduled processors , 1996, Proceedings of the 1996 Conference on Parallel Architectures and Compilation Technique.
[14] Peter A. Beerel,et al. High-speed QDI asynchronous pipelines , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.
[15] Alain J. Martin. The limitations to delay-insensitivity in asynchronous circuits , 1990 .
[16] Andrew M Lines,et al. Pipelined Asynchronous Circuits , 1998 .
[17] Gerry Kane,et al. MIPS RISC Architecture , 1987 .
[18] Alain J. Martin,et al. Projection: A Synthesis Technique for Concurrent Systems , 1999, ASYNC.
[19] Mark R. Greenstreet,et al. An event spacing experiment , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.
[20] S. Peter Song,et al. The PowerPC 604 RISC microprocessor. , 1994, IEEE Micro.
[21] Keith D. Cooper,et al. Compiler-controlled memory , 1998, ASPLOS VIII.
[22] Jim D. Garside,et al. Register locking in an asynchronous microprocessor , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
[23] Jim D. Garside,et al. AMULET2e: an asynchronous embedded controller , 1997, Proceedings Third International Symposium on Advanced Research in Asynchronous Circuits and Systems.
[24] Yiran Chen,et al. Deterministic clock gating for microprocessor power reduction , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[25] Margaret Martonosi,et al. Dynamically exploiting narrow width operands to improve processor power and performance , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.
[26] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[27] Richard M. Russell,et al. The CRAY-1 computer system , 1978, CACM.
[28] Richard E. Kessler,et al. The Alpha 21264 microprocessor , 1999, IEEE Micro.
[29] William J. Dally,et al. Register organization for media processing , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).
[30] Larry L. Biro,et al. Power considerations in the design of the Alpha 21264 microprocessor , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[31] Norman P. Jouppi,et al. Register file design considerations in dynamically scheduled processors , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.
[32] Paul I. Pénzes,et al. Speed and Energy Performance of an Asynchronous MIPS R3000 Microprocessor , 2001 .
[33] Alain J. Martin,et al. Asynchronous Pulse Logic , 2002 .
[34] Linda E. M. Brackenbury,et al. Exploiting typical DSP data access patterns and asynchrony for a low power multiported register bank , 2001, Proceedings Seventh International Symposium on Asynchronous Circuits and Systems. ASYNC 2001.
[35] Rajit Manohar,et al. Width-adaptive data word architectures , 2001, Proceedings 2001 Conference on Advanced Research in VLSI. ARVLSI 2001.
[36] Margaret Martonosi,et al. Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance , 2000, TOCS.
[37] James E. Smith,et al. Complexity-Effective Superscalar Processors , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[38] Javier Zalamea,et al. Two-level hierarchical register file organization for VLIW processors , 2000, MICRO 33.
[39] David B. Papworth. Tuning the Pentium Pro microarchitecture , 1996, IEEE Micro.
[40] Jack L. Lo,et al. Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).