暂无分享,去创建一个
[1] Steve B. Furber,et al. A fixed point exponential function accelerator for a neuromorphic many-core system , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).
[2] Xiaochen Peng,et al. NeuroSim+: An integrated device-to-algorithm framework for benchmarking synaptic devices and array architectures , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[3] Lei Zhang,et al. Neuromorphic accelerators: A comparison between neuroscience and machine-learning approaches , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[4] Narayan Srinivasa,et al. A scalable neural chip with synaptic electronics using CMOS integrated memristors , 2013, Nanotechnology.
[5] Indranil Saha,et al. journal homepage: www.elsevier.com/locate/neucom , 2022 .
[6] Song Han,et al. EIE: Efficient Inference Engine on Compressed Deep Neural Network , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[7] Dmitri B. Strukov,et al. Energy-Efficient Time-Domain Vector-by-Matrix Multiplier for Neurocomputing and Beyond , 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.
[8] Giacomo Indiveri,et al. Scaling mixed-signal neuromorphic processors to 28 nm FD-SOI technologies , 2016, 2016 IEEE Biomedical Circuits and Systems Conference (BioCAS).
[9] Steven J. Plimpton,et al. Achieving ideal accuracies in analog neuromorphic computing using periodic carry , 2017, 2017 Symposium on VLSI Technology.
[10] Jim D. Garside,et al. SpiNNaker: A 1-W 18-Core System-on-Chip for Massively-Parallel Neural Network Simulation , 2013, IEEE Journal of Solid-State Circuits.
[11] Dan W. Hammerstrom,et al. Performance/price estimates for cortex-scale hardware: A design space exploration , 2011, Neural Networks.
[12] Kaushik Roy,et al. Exploiting Inherent Error Resiliency of Deep Neural Networks to Achieve Extreme Energy Efficiency Through Mixed-Signal Neurons , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[13] Kaushik Roy,et al. Magnetic Tunnel Junction Based Long-Term Short-Term Stochastic Synapse for a Spiking Neural Network with On-Chip STDP Learning , 2016, Scientific Reports.
[14] Hao Jiang,et al. A spiking neuromorphic design with resistive crossbar , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] Qiuwen Lou,et al. A Mixed Signal Architecture for Convolutional Neural Networks , 2018, ACM J. Emerg. Technol. Comput. Syst..
[16] Gert Cauwenberghs,et al. Large-Scale Neuromorphic Spiking Array Processors: A Quest to Mimic the Brain , 2018, Front. Neurosci..
[17] A. Seabaugh,et al. Partial switching of ferroelectrics for synaptic weight storage , 2017, 2017 75th Annual Device Research Conference (DRC).
[18] Peter Blouw,et al. Benchmarking Keyword Spotting Efficiency on Neuromorphic Hardware , 2018, NICE '19.
[19] Xiaochen Peng,et al. NeuroSim: A Circuit-Level Macro Model for Benchmarking Neuro-Inspired Architectures in Online Learning , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Eugenio Culurciello,et al. An Analysis of Deep Neural Network Models for Practical Applications , 2016, ArXiv.
[21] Andrew S. Cassidy,et al. A million spiking-neuron integrated circuit with a scalable communication network and interface , 2014, Science.
[22] Giacomo Indiveri,et al. Memory and Information Processing in Neuromorphic Systems , 2015, Proceedings of the IEEE.
[23] Kaushik Roy,et al. Performance analysis and benchmarking of all-spin spiking neural networks (Special session paper) , 2017, 2017 International Joint Conference on Neural Networks (IJCNN).
[24] Giacomo Indiveri,et al. A reconfigurable on-line learning spiking neuromorphic processor comprising 256 neurons and 128K synapses , 2015, Front. Neurosci..
[25] Damien Querlioz,et al. Spintronic Nanodevices for Bioinspired Computing , 2016, Proceedings of the IEEE.
[26] Geoffrey E. Hinton,et al. ImageNet classification with deep convolutional neural networks , 2012, Commun. ACM.
[27] Hai Helen Li,et al. Spintronic Memristor Through Spin-Torque-Induced Magnetization Motion , 2009, IEEE Electron Device Letters.
[28] Dmitri E. Nikonov,et al. Benchmarking of Beyond-CMOS Exploratory Devices for Logic Integrated Circuits , 2015, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
[29] Jacques-Olivier Klein,et al. Spin-transfer torque magnetic memory as a stochastic memristive synapse , 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).
[30] Yoshua Bengio,et al. Gradient-based learning applied to document recognition , 1998, Proc. IEEE.
[31] Kaushik Roy,et al. SPINDLE: SPINtronic Deep Learning Engine for large-scale neuromorphic computing , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[32] Dmitri E. Nikonov,et al. Overview of Beyond-CMOS Devices and a Uniform Methodology for Their Benchmarking , 2013, Proceedings of the IEEE.
[33] Shimeng Yu,et al. Ferroelectric FET analog synapse for acceleration of deep neural network training , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[34] Jiaxi Hu,et al. Benchmarking Inverse Rashba-Edelstein Magnetoelectric Devices for Neuromorphic Computing , 2018, ArXiv.
[35] G. Indiveri,et al. Neuromorphic architectures for spiking deep neural networks , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).
[36] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[37] David A. Patterson,et al. In-datacenter performance analysis of a tensor processing unit , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
[38] Kaushik Roy,et al. Enabling Spike-Based Backpropagation for Training Deep Neural Network Architectures , 2019, Frontiers in Neuroscience.
[39] Luca Benini,et al. Origami: A 803-GOp/s/W Convolutional Network Accelerator , 2015, IEEE Transactions on Circuits and Systems for Video Technology.
[40] Rodrigo Alvarez-Icaza,et al. Neurogrid: A Mixed-Analog-Digital Multichip System for Large-Scale Neural Simulations , 2014, Proceedings of the IEEE.
[41] Eugenio Culurciello,et al. Evaluation of neural network architectures for embedded systems , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).
[42] Ninghui Sun,et al. DianNao: a small-footprint high-throughput accelerator for ubiquitous machine-learning , 2014, ASPLOS.
[43] Yiran Chen,et al. Memristor Crossbar-Based Neuromorphic Computing System: A Case Study , 2014, IEEE Transactions on Neural Networks and Learning Systems.
[44] Gregory K. Chen,et al. A 4096-Neuron 1M-Synapse 3.8PJ/SOP Spiking Neural Network with On-Chip STDP Learning and Sparse Weights in 10NM FinFET CMOS , 2018, 2018 IEEE Symposium on VLSI Circuits.
[45] Joel Emer,et al. A method to estimate the energy consumption of deep neural networks , 2017, 2017 51st Asilomar Conference on Signals, Systems, and Computers.
[46] Steve B. Furber,et al. Power analysis of large-scale, real-time neural networks on SpiNNaker , 2013, The 2013 International Joint Conference on Neural Networks (IJCNN).
[47] Geoffrey E. Hinton,et al. Deep Learning , 2015, Nature.
[48] I. Guyon,et al. Handwritten digit recognition: applications of neural network chips and automatic learning , 1989, IEEE Communications Magazine.
[49] Andrew Lines,et al. Loihi Asynchronous Neuromorphic Research Chip , 2018, 2018 24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC).
[50] Johannes Schemmel,et al. A wafer-scale neuromorphic hardware system for large-scale neural modeling , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[51] Steve B. Furber,et al. Benchmarking Spike-Based Visual Recognition: A Dataset and Evaluation , 2016, Front. Neurosci..
[52] Luca Benini,et al. YodaNN: An Ultra-Low Power Convolutional Neural Network Accelerator Based on Binary Weights , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[53] Steven J. Plimpton,et al. Multiscale Co-Design Analysis of Energy, Latency, Area, and Accuracy of a ReRAM Analog Neural Training Accelerator , 2017, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[54] Farnood Merrikh-Bayat,et al. High-Performance Mixed-Signal Neurocomputing With Nanoscale Floating-Gate Memory Cell Arrays , 2018, IEEE Transactions on Neural Networks and Learning Systems.
[55] David Moloney,et al. Myriad 2: Eye of the computational vision storm , 2014, 2014 IEEE Hot Chips 26 Symposium (HCS).
[56] Jongkil Park,et al. A 65k-neuron 73-Mevents/s 22-pJ/event asynchronous micro-pipelined integrate-and-fire array transceiver , 2014, 2014 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings.
[57] Andrew S. Cassidy,et al. Real-Time Scalable Cortical Computing at 46 Giga-Synaptic OPS/Watt with ~100× Speedup in Time-to-Solution and ~100,000× Reduction in Energy-to-Solution , 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.
[58] Jennifer Hasler,et al. Finding a roadmap to achieve large neuromorphic hardware systems , 2013, Front. Neurosci..
[59] Catherine D. Schuman,et al. A Survey of Neuromorphic Computing and Neural Networks in Hardware , 2017, ArXiv.
[60] Steve Furber,et al. Power-efficient simulation of detailed cortical microcircuits on SpiNNaker , 2012, Journal of Neuroscience Methods.
[61] Johannes Schemmel,et al. An Accelerated LIF Neuronal Network Array for a Large-Scale Mixed-Signal Neuromorphic Architecture , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.
[62] Hong Wang,et al. Loihi: A Neuromorphic Manycore Processor with On-Chip Learning , 2018, IEEE Micro.
[63] Kaushik Roy,et al. Hybrid Spintronic-CMOS Spiking Neural Network With On-Chip Learning: Devices, Circuits and Systems , 2015, ArXiv.
[64] Steve Furber,et al. Large-scale neuromorphic computing systems , 2016, Journal of neural engineering.
[65] Shimeng Yu,et al. Neuro-Inspired Computing With Emerging Nonvolatile Memorys , 2018, Proceedings of the IEEE.
[66] Azad Naeemi,et al. Non-Boolean Computing Benchmarking for Beyond-CMOS Devices Based on Cellular Neural Network , 2016, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
[67] Vivienne Sze,et al. Efficient Processing of Deep Neural Networks: A Tutorial and Survey , 2017, Proceedings of the IEEE.
[68] Marian Verhelst,et al. 14.5 Envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable Convolutional Neural Network processor in 28nm FDSOI , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).