Comparative evaluation of Body Biasing and Voltage Scaling for Low-Power Design on 28nm UTBB FD-SOI Technology

In this paper, we compare the two most common performance tuning techniques: Body-Biasing and Voltage Scaling, when compensating Process, Temperature, and Aging (PTA) variations. We pay special attention to the capability of each technique to improve the worst-case limiting corners that set the product specifications during High Volume Manufacturing (HVM). Results obtained with CAD simulations are validated on silicon with measurements on a 1.4GHz 32-bit ARM® Cortex® with 400kB AXI-memory and ring-oscillator performance monitors fabricated using 28nm FD-SOI technology.

[1]  Trevor Mudge,et al.  Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.

[2]  O. Faynot,et al.  Total Ionizing Dose Effects Mitigation Strategy for Nanoscaled FDSOI Technologies , 2014, IEEE Transactions on Nuclear Science.

[3]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[4]  Edith Beigné,et al.  A 2.5μW 0.0067mm2 automatic back-biasing compensation unit achieving 50% leakage reduction in FDSOI 28nm over 0.35-to-1V VDD range , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[5]  James Tschanz,et al.  8.5 A Fully Integrated Voltage Regulator in 14nm CMOS with Package-Embedded Air-Core Inductor Featuring Self-Trimmed, Digitally Controlled Variable On-Time Discontinuous Conduction Mode Operation , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[6]  Robin Wilson,et al.  A 3 GHz Dual Core Processor ARM Cortex TM -A9 in 28 nm UTBB FD-SOI CMOS With Ultra-Wide Voltage Range and Energy Efficiency Optimization , 2014, IEEE Journal of Solid-State Circuits.

[7]  Gilles Sicard,et al.  A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications , 2009, ISLPED.

[8]  O. Rozeau,et al.  28nm FDSOI technology platform for high-speed low-voltage digital applications , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[9]  James Tschanz,et al.  Postsilicon Voltage Guard-Band Reduction in a 22 nm Graphics Execution Core Using Adaptive Voltage Scaling and Dynamic Power Gating , 2017, IEEE Journal of Solid-State Circuits.

[10]  Christopher Berry,et al.  IBM z14: Processor Characterization and Power Management for High-Reliability Mainframe Systems , 2019, IEEE Journal of Solid-State Circuits.

[11]  Jun He,et al.  Comprehensive device and product level reliability studies on advanced CMOS technologies featuring 7nm high-k metal gate FinFET transistors , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[12]  David Bol,et al.  8.4 A 0.33V/-40°C process/temperature closed-loop compensation SoC embedding all-digital clock multiplier and DC-DC converter exploiting FDSOI 28nm back-gate biasing , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[13]  P. Mattavelli,et al.  Truly Innovative 28nm FDSOI Technology for Automotive Micro-Controller Applications embedding 16MB Phase Change Memory , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).