Enhancing Power, Performance, and Energy Efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence

Technology scaling of complementary metal–oxide–semiconductor has resulted in new thermal behavior where increase in operating temperature results in reduced circuit propagation delay. This paper exploits this inverse thermal dependence (ITD) for power, performance, and temperature optimization in single-core and multicore processor architectures for various thermally hot and cold applications. Since ITD increases the maximum achievable operating frequency of a processor at high temperatures, it is used to reduce the execution time of applications. Dynamic thermal management (DTM) techniques, such as activity migration (AM), dynamic voltage frequency scaling (DVFS), and throttling, are modified to leverage ITD to either enhance the performance or the energy efficiency. While recent work observed the ITD effect for 45- and 32-nm technologies, in this paper, we explore future technologies through predictive SPICE models for 20-, 14-, 10-, and 7-nm technologies. The results show that the ITD-aware techniques reduce the execution time, energy-delay product (EDP) and energy-delay-square product by up to 28%, 33%, and 48%, respectively. Moreover, the ITD-aware DVFS yields the lowest execution time while resulting in the most uniform thermal profile and thus enhanced reliability. Overall, the ITD-aware techniques reduce the execution time and EDP, both in combination with DTM techniques or stand-alone, especially at lower than nominal operating voltages.

[1]  Krste Asanovic,et al.  Reducing power density through activity migration , 2003, ISLPED '03.

[2]  Franziska Hoffmann,et al.  Design Of Analog Cmos Integrated Circuits , 2016 .

[3]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[4]  Margaret Martonosi,et al.  Temperature-Aware Design Issues for SMT and CMP Architectures , 2004 .

[5]  M. Weybright,et al.  High performance and low power transistors integrated in 65nm bulk CMOS technology , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[6]  Li Shang,et al.  Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Preeti Verma,et al.  Temperature Dependence of Propagation Delay Characteristic in LECTOR based CMOS Circuit , 2011 .

[8]  H. Ohta,et al.  High performance 30 nm gate bulk CMOS for 45 nm node with /spl Sigma/-shaped SiGe-SD , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[9]  Yu Cao,et al.  New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.

[10]  Kouichi Kanda,et al.  Design impact of positive temperature dependence of drain current in sub 1 V CMOS VLSIs , 1999 .

[11]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[12]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[13]  Wei Zhao Predictive technology modeling for scaled CMOS , 2009 .

[14]  C. Hu,et al.  Sub-50 nm P-channel FinFET , 2001 .

[15]  Diana Marculescu,et al.  Power-aware performance increase via core/uncore reinforcement control for chip-multiprocessors , 2012, ISLPED '12.

[16]  Enrico Macii,et al.  Investigating the effects of Inverted Temperature Dependence (ITD) on clock distribution networks , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[17]  Norman P. Jouppi,et al.  Processor Power Reduction Via Single-ISA Heterogeneous Multi-Core Architectures , 2003, IEEE Computer Architecture Letters.

[18]  Avesta Sasan,et al.  Multiple sleep mode leakage control for cache peripheral circuits in embedded processors , 2008, CASES '08.

[19]  Kevin Skadron,et al.  A Case for Thermal-Aware Floorplanning at the Microarchitectural Level , 2005, J. Instr. Level Parallelism.

[20]  Shahin Nazarian,et al.  Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[21]  Jörg Henkel,et al.  TAPE: thermal-aware agent-based power economy for multi/many-core architectures , 2009, ICCAD '09.

[22]  Young Min Kim,et al.  Temperature Dependence of Substrate and Drain–Currents in Bulk FinFETs , 2007, IEEE Transactions on Electron Devices.

[23]  Kevin Skadron,et al.  Scaling with Design Constraints: Predicting the Future of Big Chips , 2011, IEEE Micro.

[24]  Enrico Macii,et al.  Temperature-Insensitive Dual- $V_{\rm th}$ Synthesis for Nanometer CMOS Technologies Under Inverse Temperature Dependence , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  T. Sugii,et al.  High performance 25 nm gate CMOSFETs for 65 nm node high speed MPUs , 2003, IEEE International Electron Devices Meeting 2003.

[26]  Diana Marculescu,et al.  Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[27]  Chen-Yong Cher,et al.  Variation-aware thermal characterization and management of multi-core architectures , 2008, 2008 IEEE International Conference on Computer Design.

[28]  Behzad Razavi,et al.  Design of Analog CMOS Integrated Circuits , 1999 .

[29]  James Tschanz,et al.  Characterization of Inverse Temperature Dependence in logic circuits , 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

[30]  I. Filanovsky,et al.  Mutual compensation of mobility and threshold voltage temperature effects with applications in CMOS circuits , 2001 .

[31]  Kouichi Kanda,et al.  Design impact of positive temperature dependence of drain current in sub 1 V CMOS VLSIs , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[32]  Nikil D. Dutt,et al.  VAWOM: Temperature and process variation aware WearOut Management in 3D multicore architecture , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[33]  Houman Homayoun,et al.  Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence , 2015, ACM Great Lakes Symposium on VLSI.

[34]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, ISCA 2006.

[35]  Li Shang,et al.  Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[36]  Sarma B. K. Vrudhula,et al.  Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[37]  Fawnizu Azmadi Hussin,et al.  Design for cold test elimination - facing the Inverse Temperature Dependence (ITD) challenge , 2012, 2012 IEEE International Symposium on Circuits and Systems.

[38]  Houman Homayoun,et al.  Temperature aware thread migration in 3D architecture with stacked DRAM , 2013, International Symposium on Quality Electronic Design (ISQED).

[39]  Tajana Simunic,et al.  Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors , 2009, SIGMETRICS '09.

[40]  Meikang Qiu,et al.  Efficient Implementation of Thermal-Aware Scheduler on a Quad-core Processor , 2011, 2011IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications.

[41]  Jean Michel Daga,et al.  Temperature effect on delay for low voltage applications [CMOS ICs] , 1998, Proceedings Design, Automation and Test in Europe.

[42]  Dean M. Tullsen,et al.  Fellowship - Simulation And Modeling Of A Simultaneous Multithreading Processor , 1996, Int. CMG Conference.

[43]  D. Lea,et al.  High speed 45nm gate length CMOSFETs integrated into a 90nm bulk technology incorporating strain engineering , 2003, IEEE International Electron Devices Meeting 2003.

[44]  Ali Dasdan,et al.  Handling inverted temperature dependence in static timing analysis , 2006, TODE.

[45]  Seda Ogrenci Memik,et al.  Physical aware frequency selection for dynamic thermal management in multi-core systems , 2006, ICCAD.

[46]  Dean M. Tullsen,et al.  Fast switching of threads between cores , 2009, OPSR.

[47]  Jörg Henkel,et al.  TAPE: Thermal-aware agent-based power econom multi/many-core architectures , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.