On-chip communication in the many-core era

Electronic system design is being revolutionized by the widespread adoption of the multi- and many-core paradigms. As the number of elements on a single chip and their performance continue to increase, the communication architecture is gradually becoming the key ingredient determining various trade-offs between costs and performance: on-chip interconnects provide a vital facility for highly parallel systems, particularly in data intensive applications, where the choice of the underlying communication architecture, tailored on the particular application requirements, is critical to the whole architecture. In that respect, the first part of this thesis goes through the main options available for building different on-chip communication architectures, focusing on the design automation of structured communication architectures based on crossbars and shared buses connected through bridges. An automated methodology for optimizing many-core interconnect architectures, based on the application communication requirements, is presented. The proposed methodology turns the description of the application communication requirements into an on-chip synthesizable interconnection structure satisfying given area constraints. In addition, it could take into account possible dependencies between tasks in order to co-optimize the communication scheduling and interconnect synthesis. However, it is increasingly challenging for an electrical interconnect to meet power constraints since the power dissipation of electrical on-chip networks poorly scales with performance leading to a growing energy cost. Silicon Photonics seems to be able to empower ultra-high bandwidth with low power consumption: nanophotonic waveguides (the photonic equivalent of a wire) can achieve bandwidths in the Tb/s range, while photonic signaling consumes less power than electrical interconnects since the energy consumption necessary to send a message optically is independent of the bitrate and the distance between the two end-points with no need for power consuming repeaters, regenerators or buffers. However, designing an optical on-chip network requires addressing several challenges that have no equivalent in the electronic domain. The photonics inability to perform inflight buffering and logic suggests the use of hybrid architectures made up of a photonic circuit-switched and an electronic packet-switched networks. In this regard, in the second part of this thesis, we first propose a new power-aware path-setup protocol able to put allocated circuits on a stand-by state, rapidly recovering them when needed. Then, a novel hybrid Optical-Electronic NoC named H2ONoC is presented. Thanks to a hybrid optical topology, it is possible to achieve higher bandwidth values and constant energy dissipation regardless of the network and traffic size. Compared to previously proposed architectures, H2ONoC exhibits higher throughput, lower latency, and improved energy efficiency with heavy traffic. Therefore the main contribution of the thesis is twofold: engineering as the integration of the proposed design methods into tools to automate the interconnect design steps has direct applicability for designing multi- and many-core systems, and scientific since advanced and original communication architectures exploiting silicon photonics are presented.

[1]  Chong-Min Kyung,et al.  Low-Power Bus Architecture Composition for AMBA AXI , 2009 .

[2]  Ahmed Amine Jerraya,et al.  An optimal memory allocation for application-specific multiprocessor system-on-chip , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[3]  Karthikeyan Sankaralingam,et al.  Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.

[4]  Keki M. Burjorjee Explaining optimization in genetic algorithms with uniform crossover , 2013, FOGA XII '13.

[5]  Chi-Ho Lin,et al.  The efficient bus arbitration scheme in SoC environment , 2003, The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003. Proceedings..

[6]  Wei Zhang,et al.  A NoC Traffic Suite Based on Real Applications , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[7]  Frances A. Rosamond,et al.  Parameterized Complexity of the Clique Partition Problem , 2008, CATS.

[8]  Sungjoo Yoo,et al.  Topology Synthesis of Cascaded Crossbar Switches , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Nikil D. Dutt,et al.  A Framework for Cosynthesis of Memory and Communication Architectures for MPSoC , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Luca Benini,et al.  Network-on-Chip design and synthesis outlook , 2008, Integr..

[11]  Luca Benini,et al.  Simultaneous memory and bus partitioning for SoC architectures , 2005, Proceedings 2005 IEEE International SOC Conference.

[12]  Y. Vlasov,et al.  Losses in single-mode silicon-on-insulator strip waveguides and bends. , 2004, Optics express.

[13]  Jeff Mason,et al.  Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[14]  Soonhoi Ha,et al.  Schedule-aware performance estimation of communication architecture for efficient design space exploration , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[16]  Luca Benini,et al.  On-Chip Communication Architectures: System on Chip Interconnect , 2008 .

[17]  Brian Bell,et al.  Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[18]  Naehyuck Chang,et al.  Slack-based Bus Arbitration Scheme for Soft Real-time Constrained Embedded Systems , 2007, 2007 Asia and South Pacific Design Automation Conference.

[19]  P. Dumon,et al.  Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides. , 2007, Optics letters.

[20]  Alex Doboli,et al.  Layout conscious bus architecture synthesis for deep submicron systems on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[21]  F. Xia,et al.  Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects. , 2007, Optics express.

[22]  Luca P. Carloni,et al.  On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[23]  Payman Zarkesh-Ha,et al.  Reducing energy and increasing performance with traffic optimization in many-core systems , 2011, International Workshop on System Level Interconnect Prediction.

[24]  Jong-Hwan Kim,et al.  Quantum-inspired evolutionary algorithm for a class of combinatorial optimization , 2002, IEEE Trans. Evol. Comput..

[25]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[26]  Nikil D. Dutt,et al.  CAPPS: A Framework for Power–Performance Tradeoffs in Bus-Matrix-Based On-Chip Communication Architecture Synthesis , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Luca Benini,et al.  Layout-driven memory synthesis for embedded systems-on-chip , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[28]  Benjamin G. Lee,et al.  All-Optical Comb Switch for Multiwavelength Message Routing in Silicon Photonic Networks , 2008, IEEE Photonics Technology Letters.

[29]  Radu Marculescu,et al.  Traffic analysis for on-chip networks design of multimedia applications , 2002, DAC '02.

[30]  Yi He,et al.  Energy efficient joint scheduling and multi-core interconnect design , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[31]  Francky Catthoor,et al.  Custom Memory Management Methodology: Exploration of Memory Organisation for Embedded Multimedia System Design , 1998 .

[32]  Trevor Mudge,et al.  Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.

[33]  K. Keutzer,et al.  System-level design: orthogonalization of concerns andplatform-based design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[34]  K. Bergman,et al.  Insertion loss analysis in a photonic interconnection network for on-chip and off-chip communications , 2008, LEOS 2008 - 21st Annual Meeting of the IEEE Lasers and Electro-Optics Society.

[35]  Zaid Al-Ars,et al.  A heuristic-based communication-aware hardware optimization approach in heterogeneous multicore systems , 2012, 2012 International Conference on Reconfigurable Computing and FPGAs.

[36]  Radu Marculescu,et al.  Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[37]  Luca Benini,et al.  Analyzing on-chip communication in a MPSoC environment , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[38]  Kiyoung Choi,et al.  Communication Architecture Synthesis of Cascaded Bus Matrix , 2007, 2007 Asia and South Pacific Design Automation Conference.

[39]  Stamatis Vassiliadis,et al.  FLUX interconnection networks on demand , 2007, J. Syst. Archit..

[40]  Soonhoi Ha,et al.  Efficient exploration of bus-based system-on-chip architectures , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[41]  Donald E. Thomas,et al.  Simultaneous synthesis of buses, data mapping and memory allocation for MPSoC , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[42]  Wei Zhang,et al.  Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[43]  Rolf Drechsler,et al.  Robust on-chip bus architecture synthesis for MPSoCs under random tasks arrival , 2008, 2008 Asia and South Pacific Design Automation Conference.

[44]  Vincent John Mooney,et al.  A comparison of five different multiprocessor SoC bus architectures , 2001, Proceedings Euromicro Symposium on Digital Systems Design.

[45]  Partha Pratim Pande,et al.  Effect of traffic localization on energy dissipation in NoC-based interconnect , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[46]  Jason Cong,et al.  ATree-based topology synthesis for on-chip network , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[47]  Jan Madsen,et al.  Integrating communication protocol selection with hardware/software codesign , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[48]  Manfred Glesner,et al.  Simultaneous On-Chip Bus Synthesis and Voltage Scaling Under Random On-Chip Data Traffic , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[49]  Fernando Gehm Moraes,et al.  Virtual Channels in Networks on Chip: Implementation and Evaluation on Hermes NoC , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.

[50]  Gilbert Hendry,et al.  Architectural Exploration of Chip-Scale Photonic Interconnection Network Designs Using Physical-Layer Analysis , 2010, Journal of Lightwave Technology.

[51]  John F. Canny,et al.  A Computational Approach to Edge Detection , 1986, IEEE Transactions on Pattern Analysis and Machine Intelligence.

[52]  Axel Jantsch,et al.  A Survey of FPGA Dynamic Reconfiguration Design Methodology and Applications , 2012, Int. J. Embed. Real Time Commun. Syst..

[53]  Sujit Dey,et al.  Dynamically Configurable Bus Topologies for High-Performance On-Chip Communication , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[54]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[55]  Radu Marculescu,et al.  On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2007, TODE.

[56]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[57]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[58]  Hugo De Man,et al.  Minimizing the required memory bandwidth in VLSI system realizations , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[59]  Thorsten Grotker,et al.  System Design with SystemC , 2002 .

[60]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[61]  Leonid Oliker,et al.  Communication Requirements and Interconnect Optimization for High-End Scientific Applications , 2007, IEEE Transactions on Parallel and Distributed Systems.

[62]  Jae Young Hur Customizing and hardwiring on-chip interconnects in FPGAs , 2011 .

[63]  Nikil D. Dutt,et al.  Fast exploration of bus-based on-chip communication architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..

[64]  Giovanni De Micheli,et al.  Synthesis and Optimization of Digital Circuits , 1994 .

[65]  Photonic network on a chip , 2011 .

[66]  Kai Feng,et al.  A formal study on topology and floorplan characteristics of mesh and torus-based optical networks-on-chip , 2013, Microprocess. Microsystems.

[67]  Krishnan Srinivasan,et al.  A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[68]  R. Luce,et al.  A method of matrix analysis of group structure , 1949, Psychometrika.

[69]  Edoardo Fusella,et al.  Automated design space exploration for FPGA-based heterogeneous interconnects , 2014, Des. Autom. Embed. Syst..

[70]  Edoardo Fusella,et al.  Automated synthesis of FPGA-based heterogeneous interconnect topologies , 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

[71]  Niraj K. Jha,et al.  Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[72]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[73]  Hoi-Jun Yoo,et al.  Arbitration latency analysis of the shared channel architecture for high performance multi-master SoC , 2004, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits.

[74]  Nikil Dutt,et al.  FABSYN: floorplan-aware bus architecture synthesis , 2006 .

[75]  Pasi Liljeberg,et al.  Self-timed ring architecture for SOC applications , 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..

[76]  Radu Marculescu,et al.  An Analytical Approach for Network-on-Chip Performance Analysis , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[77]  Edoardo Fusella,et al.  On the Design of a Path-Setup Architecture for Exploiting Hybrid Photonic-Electronic NoCs , 2015, 2015 Workshop on Exploiting Silicon Photonics for Energy-Efficient High Performance Computing.

[78]  Erik Brockmeyer,et al.  Data-Reuse-Driven Energy-Aware Cosynthesis of Scratch Pad Memory and Hierarchical Bus-Based Communication Architecture for Multiprocessor Streaming Applications , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[79]  Jing-Yang Jou,et al.  A Precise Bandwidth Control Arbitration Algorithm for Hard Real-Time SoC Buses , 2007, 2007 Asia and South Pacific Design Automation Conference.

[80]  Wei Zhang,et al.  A Hierarchical Hybrid Optical-Electronic Network-on-Chip , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[81]  Timo Hämäläinen,et al.  On network-on-chip comparison , 2007, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007).

[82]  Wayne H. Wolf,et al.  The future of multiprocessor systems-on-chips , 2004, Proceedings. 41st Design Automation Conference, 2004..

[83]  Cheng-Kok Koh,et al.  SAMBA-Bus: A High Performance Bus Architecture for System-on-Chips , 2003, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[84]  Ahmed Amine Jerraya,et al.  Synthesis of system-level communication by an allocation-based approach , 1995 .

[85]  Stamatis Vassiliadis,et al.  Systematic Customization of On-Chip Crossbar Interconnects , 2007, ARC.

[86]  Andrew B. Kahng,et al.  On wirelength estimations for row-based placement , 1998, ISPD '98.

[87]  Wei Zhang,et al.  A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip , 2012, JETC.

[88]  Nikhil R. Devanur,et al.  An O(n log n) Algorithm for a Load Balancing Problem on Paths , 2011, WADS.

[89]  Miodrag Potkonjak,et al.  Latency-Guided On-Chip Bus-Network Design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[90]  Soonhoi Ha,et al.  Schedule-aware performance estimation of communication architecture for efficient design space exploration , 2005, IEEE Trans. Very Large Scale Integr. Syst..

[91]  Sungjoo Yoo,et al.  Mixed integer linear programming-based optimal topology synthesis of cascaded crossbar switches , 2008, 2008 Asia and South Pacific Design Automation Conference.

[92]  Benjamin G. Lee,et al.  On the Design of a 4 × 4 Nonblocking Nanophotonic Switch for Photonic Networks on Chip , 2007 .

[93]  Chong-Min Kyung,et al.  Topology Synthesis for Low Power Cascaded Crossbar Switches , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[94]  Benjamin G Lee,et al.  Multichannel High-Bandwidth Coupling of Ultradense Silicon Photonic Waveguide Array to Standard-Pitch Fiber Array , 2011, Journal of Lightwave Technology.

[95]  Shuvra S. Bhattacharyya,et al.  Joint application mapping/interconnect synthesis techniques for embedded chip-scale multiprocessors , 2005, IEEE Transactions on Parallel and Distributed Systems.

[96]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[97]  Jeffrey S. Vetter,et al.  Communication characteristics of large-scale scientific applications for contemporary cluster architectures , 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

[98]  Kees G. W. Goossens,et al.  Customisation of on-chip network interconnects and experiments in field-programmable gate arrays , 2012, IET Comput. Digit. Tech..

[99]  Fernando Gehm Moraes,et al.  HERMES: an infrastructure for low area overhead packet-switching networks on chip , 2004, Integr..

[100]  Soonhoi Ha,et al.  Efficient hierarchical bus-matrix architecture exploration of processor pool-based MPSoC , 2012, Des. Autom. Embed. Syst..

[101]  Eui-Young Chung,et al.  Partial Connection-Aware Topology Synthesis for On-Chip Cascaded Crossbar Network , 2012, IEEE Transactions on Computers.

[102]  Martin Lukasiewycz,et al.  Opt4J: a modular framework for meta-heuristic optimization , 2011, GECCO '11.

[103]  Norbert Wehn,et al.  Embedded DRAM Development: Technology, Physical Design, and Application Issues , 2001, IEEE Des. Test Comput..

[104]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[105]  Srinivasan Murali,et al.  An Application-Specific Design Methodology for STbus Crossbar Generation , 2005, Design, Automation and Test in Europe.

[106]  Narayanan Vijaykrishnan,et al.  Simultaneous partitioning and frequency assignment for on-chip bus architectures , 2005, Design, Automation and Test in Europe.

[107]  Nikil D. Dutt,et al.  FABSYN: floorplan-aware bus architecture synthesis , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[108]  Rabi N. Mahapatra,et al.  Interfacing cores with on-chip packet-switched networks , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

[109]  Jae Young Hur,et al.  Design Trade-offs in Customized On-chip Crossbar Schedulers , 2010, J. Signal Process. Syst..

[110]  Nikil D. Dutt,et al.  BMSYN: Bus Matrix Communication Architecture Synthesis for MPSoC , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[111]  Soonhoi Ha,et al.  A Systematic Design Space Exploration of MPSoC Based on Synchronous Data Flow Specification , 2010, J. Signal Process. Syst..

[112]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[113]  Wei Zhang,et al.  Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[114]  Wayne Wolf,et al.  Hardware-software co-design of embedded systems , 1994, Proc. IEEE.

[115]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[116]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[117]  Jiang Xu,et al.  Five-Port Optical Router Based on Microring Switches for Photonic Networks-on-Chip , 2013, IEEE Photonics Technology Letters.

[118]  KohCheng-Kok,et al.  SAMBA-bus: A high performance bus architecture for system-on-chips , 2007 .

[119]  Vincent John Mooney,et al.  Automated bus generation for multiprocessor SoC design , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[120]  Keren Bergman,et al.  Demonstration of All-Optical Multi-Wavelength Message Routing for Silicon Photonic Networks , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[121]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, MICRO.

[122]  P CarloniLuca,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008 .

[123]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[124]  Manfred Glesner,et al.  Bus-Based Communication Synthesis on System-Level , 1996, TODE.

[125]  Yi Zhu,et al.  Communication latency aware low power NoC synthesis , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[126]  Yurii Nesterov,et al.  Interior-point polynomial algorithms in convex programming , 1994, Siam studies in applied mathematics.

[127]  Alyssa B. Apsel,et al.  On-Chip Optical Technology in Future Bus-Based Multicore Designs , 2007, IEEE Micro.

[128]  Naveed A. Sherwani,et al.  Algorithms for VLSI Physical Design Automation , 1999, Springer US.

[129]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[130]  Norman P. Jouppi,et al.  CACTI 6.0: A Tool to Model Large Caches , 2009 .

[131]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[132]  Coniferous softwood GENERAL TERMS , 2003 .

[133]  Edoardo Fusella,et al.  Joint communication scheduling and interconnect synthesis for FPGA-based many-core systems , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[134]  Mitchell Hayenga,et al.  Pitfalls of ORION-Based Simulation , 2012 .

[135]  Luca P. Carloni,et al.  Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[136]  Youn-Long Lin,et al.  An optimal warning-zone-length assignment algorithm for real-time and multiple-QoS on-chip bus arbitration , 2010, TECS.

[137]  Erich Strohmaier,et al.  Optimizing communication overlap for high-speed networks , 2007, PPoPP.

[138]  Joydeep Ghosh,et al.  Cluster Ensembles --- A Knowledge Reuse Framework for Combining Multiple Partitions , 2002, J. Mach. Learn. Res..

[139]  Kwang-Ting Cheng,et al.  Electronic Design Automation: Synthesis, Verification, and Test , 2009 .

[140]  William J. Dally,et al.  Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.

[141]  Jung Ho Ahn,et al.  CACTI-P: Architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[142]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[143]  N. Feng,et al.  Low loss silicon waveguides for application of optical interconnects , 2010, IEEE Photonics Society Summer Topicals 2010.

[144]  Kiyoung Choi,et al.  Topology/Floorplan/Pipeline Co-Design of Cascaded Crossbar Bus , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[145]  Pedro López,et al.  A New Family of Hybrid Topologies for Large-Scale Interconnection Networks , 2012, 2012 IEEE 11th International Symposium on Network Computing and Applications.

[146]  Luca Benini,et al.  Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.

[147]  Luca Benini,et al.  An Application-Specific Design Methodology for On-Chip Crossbar Generation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[148]  Qi Li,et al.  Scaling Silicon Photonic Switch Fabrics for Data Center Interconnection Networks References and Links Programmable Wavelength Locking and Routing in a Silicon-photonic Interconnection Network , 2022 .

[149]  M. Miranda,et al.  Memory communication network exploration for low-power distributed memory organisations , 2004, IEEE Workshop onSignal Processing Systems, 2004. SIPS 2004..

[150]  Li-Shiuan Peh,et al.  High-level power analysis for on-chip networks , 2004, CASES '04.

[151]  O. Goren,et al.  High performance on-chip interconnect system supporting fast SoC generation , 2006, 2006 International Symposium on VLSI Design, Automation and Test.

[152]  Alexandru Nicolau,et al.  Memory Issues in Embedded Systems-on-Chip: Optimizations and Exploration , 1998 .