Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs

In this paper, three effective design techniques are presented to effectively reduce the clock power consumption and slew of the 3D clock distribution network: (1) controlling the bound of through-silicon-vias (TSVs) used in between adjacent dies, (2) controlling the maximum load capacitance of the clock buffer, (3) adjusting the clock source location in the 3D stack. We discuss how these design factors affect the overall wirelength, clock power, slew, skew, and routing congestion in the practical 3D clock network design. SPICE simulation indicates that: (1) a 3D clock tree with multiple TSVs achieves up to 31% power saving, 52% wirelength saving and better slew control as compared with the single-TSV case; (2) by placing the clock source on the middle die in the 3D stack, an additional 7.7% power savings, 9.2% wirelength savings, and 33% TSV savings are obtained compared with the clock source on the topmost die. This work aims at helping designers construct reliable low-power and low-slew 3D clock network by making the right decisions on TSV usage, clock buffer insertion, and clock source placement.

[1]  Shiyan Hu,et al.  Fast Algorithms for Slew-Constrained Minimum Cost Buffering , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Eby G. Friedman,et al.  Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[3]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[4]  Eby G. Friedman,et al.  Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.

[5]  Hsien-Hsin S. Lee,et al.  Pre-bond testable low-power clock tree design for 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[6]  Wayne P. Burleson,et al.  Low-power clock distribution in a multilayer core 3d microprocessor , 2008, GLSVLSI '08.

[7]  B. Dang,et al.  Reliability testing of through-silicon vias for high-current 3D applications , 2008, 2008 58th Electronic Components and Technology Conference.

[8]  H. Reichl,et al.  Through silicon via technology — processes and reliability for wafer-level 3D system integration , 2008, 2008 58th Electronic Components and Technology Conference.

[9]  Qing K. Zhu High-speed clock network design , 2002 .

[10]  Xin Zhao,et al.  Buffered clock tree synthesis for 3D ICs under thermal variations , 2008, 2008 Asia and South Pacific Design Automation Conference.

[11]  K.A. Jenkins,et al.  A clock distribution network for microprocessors , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[12]  Jan Vardaman,et al.  3-D through-silicon via , 2007 .

[13]  Andrew B. Kahng,et al.  On the skew-bounded minimum-buffer routing tree problem , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Majid Sarrafzadeh,et al.  Minimal buffer insertion in clock trees with skew and slew rate constraints , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Paul S. Andry,et al.  Three dimensional silicon integration using fine pitch interconnection, silicon processing and silicon carrier packaging technology , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[16]  Keith A. Jenkins,et al.  A clock distribution network for microprocessors , 2000 .