High-level TSV resource sharing and optimization for TSV based 3D IC designs
暂无分享,去创建一个
[1] Mely Chen Chi,et al. A multilevel multilayer partitioning algorithm for three dimensional integrated circuits , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[2] Jason Cong,et al. A multilevel analytical placement for 3D ICs , 2009, 2009 Asia and South Pacific Design Automation Conference.
[3] TingTing Hwang,et al. TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[4] Li Shang,et al. 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[5] Jason Cong,et al. A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.
[6] Miodrag Potkonjak,et al. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[7] John H. Lau,et al. TSV manufacturing yield and hidden costs for 3D IC integration , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).
[8] Sung Kyu Lim,et al. A study of Through-Silicon-Via impact on the 3D stacked IC layout , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[9] Yao-Wen Chang,et al. Modern floorplanning based on B/sup */-tree and fast simulated annealing , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[10] Iris Hui-Ru Jiang,et al. 3DICE: 3D IC cost evaluation based on fast tier number estimation , 2011, 2011 12th International Symposium on Quality Electronic Design.
[11] Daniel P. Siewiorek,et al. Automated Synthesis of Data Paths in Digital Systems , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[12] Sung Kyu Lim,et al. Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs , 2009, SLIP '09.
[13] Sherief Reda,et al. Parametric yield management for 3D ICs: Models and strategies for improvement , 2008, JETC.
[14] Siddharth Garg,et al. 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs , 2009, 2009 10th International Symposium on Quality Electronic Design.
[15] Iris Hui-Ru Jiang,et al. Generic integer linear programming formulation for 3D IC partitioning , 2009, 2009 IEEE International SOC Conference (SOCC).
[16] Taemin Kim,et al. Compatibility path based binding algorithm for interconnect reduction in high level synthesis , 2007, ICCAD 2007.
[17] Shih-Hsu Huang,et al. TSV sharing through multiplexing for TSV count minimization in high-level synthesis , 2011, 2011 IEEE International SOC Conference.
[18] Ting-Chi Wang,et al. Through-Silicon Via Planning in 3-D Floorplanning , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[19] Yao-Wen Chang,et al. Modern floorplanning based on fast simulated annealing , 2005, ISPD '05.
[20] Jae-Seok Yang,et al. TSV stress aware timing analysis with applications to 3D-IC layout optimization , 2010, Design Automation Conference.