Feedback thermal control of real-time systems on multicore processors
暂无分享,去创建一个
Chenyang Lu | Yong Fu | Xenofon D. Koutsoukos | Nicholas Kottenstette | X. Koutsoukos | Chenyang Lu | N. Kottenstette | Yong Fu
[1] Riccardo Bettati,et al. Reactive speed control in temperature-constrained real-time systems , 2006, 18th Euromicro Conference on Real-Time Systems (ECRTS'06).
[2] Kevin Skadron,et al. Predictive Temperature-Aware DVFS , 2010, IEEE Transactions on Computers.
[3] Gary J. Balas. Robust control toolbox , 2005 .
[4] Giovanni De Micheli,et al. A control theory approach for thermal balancing of MPSoC , 2009, 2009 Asia and South Pacific Design Automation Conference.
[5] James W. Layland,et al. Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.
[6] James H. Anderson,et al. Soft Real-Time on Multiprocessors: Are Analysis-Based Schedulers Really Worth It? , 2011, 2011 IEEE 32nd Real-Time Systems Symposium.
[7] Chenyang Lu,et al. Feedback Thermal Control for Real-time Systems , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.
[8] Chenyang Lu,et al. Cyber-physical systems for real-time hybrid structural testing: a case study , 2010, ICCPS '10.
[9] W. Haddad,et al. Nonlinear Dynamical Systems and Control: A Lyapunov-Based Approach , 2008 .
[10] Xiaorui Wang,et al. Dynamic Thermal and Timeliness Guarantees for Distributed Real-Time Embedded Systems , 2009, 2009 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.
[11] Li Shang,et al. Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[12] Kevin Skadron,et al. Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..
[13] Ricardo Bianchini,et al. Mercury and freon: temperature emulation and management for server systems , 2006, ASPLOS XII.
[14] Xiaobo Sharon Hu,et al. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Romeo Ortega,et al. Putting energy back in control , 2001 .
[16] Li Shang,et al. Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[17] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[18] Lothar Thiele,et al. Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[19] Lothar Thiele,et al. Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[20] Yan Zhang,et al. Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks , 2009, 2009 21st Euromicro Conference on Real-Time Systems.
[21] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[22] Giovanni De Micheli,et al. Multicore thermal management with model predictive control , 2009, 2009 European Conference on Circuit Theory and Design.
[23] Stephen P. Boyd,et al. Processor Speed Control With Thermal Constraints , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.
[24] Margaret Martonosi,et al. Identifying program power phase behavior using power vectors , 2003, 2003 IEEE International Conference on Communications (Cat. No.03CH37441).
[25] Jan M. Maciejowski,et al. Predictive control : with constraints , 2002 .
[26] Karl-Erik Årzén,et al. Feedback Control of Cyber-physical Systems with Multi Resource Dependencies and Model Uncertainties , 2010, 2010 31st IEEE Real-Time Systems Symposium.
[27] Jeffrey Fan,et al. Leakage temperature dependency modeling in system level analysis , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[28] C. A. Desoer,et al. Nonlinear Systems Analysis , 1978 .
[29] Lei He,et al. Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] N Kottenstette,et al. Relationships between positive real, passive dissipative, & positive systems , 2010, Proceedings of the 2010 American Control Conference.
[31] Yong Fu. Control-theoretic Thermal Balancing for Clusters , 2009 .
[32] B. Anderson,et al. Digital control of dynamic systems , 1981, IEEE Transactions on Acoustics, Speech, and Signal Processing.
[33] Kevin Skadron,et al. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[34] Li Shang,et al. Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors , 2007, IEEE Micro.
[35] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[36] Chenyang Lu,et al. Robust control-theoretic thermal balancing for server clusters , 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).
[37] A. Schaft,et al. L2-Gain and Passivity in Nonlinear Control , 1999 .
[38] Chaitali Chakrabarti,et al. Throughput of multi-core processors under thermal constraints , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[39] Giovanni De Micheli,et al. Multicore thermal management using approximate explicit model predictive control , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[40] Tei-Wei Kuo,et al. On the Minimization fo the Instantaneous Temperature for Periodic Real-Time Tasks , 2007, 13th IEEE Real Time and Embedded Technology and Applications Symposium (RTAS'07).
[41] Michael C. Huang,et al. A framework for dynamic energy efficiency and temperature management , 2000, MICRO 33.
[42] Kai Ma,et al. Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.
[43] Margaret Martonosi,et al. Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data , 2003, MICRO.
[44] Sarita V. Adve,et al. Predictive dynamic thermal management for multimedia applications , 2003, ICS '03.