Concurrent Optimization of Low-Cost Regular Fabrics and Variation-Tolerant Circuit Techniques for Nanoscale SRAM
暂无分享,去创建一个
[1] Andrew B. Kahng,et al. Exploiting STI stress for performance , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[2] Jan M. Rabaey,et al. SRAM leakage suppression by minimizing standby supply voltage , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[3] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[4] Yan Borodovsky,et al. Marching to the beat of Moore's Law , 2006, SPIE Advanced Lithography.
[5] N. Planes,et al. A New Combined Methodology for Write-Margin Extraction of Advanced SRAM , 2007, 2007 IEEE International Conference on Microelectronic Test Structures.
[6] M. Nomura,et al. Redefinition of Write Margin for Next-Generation SRAM and Write-Margin Monitoring Circuit , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[7] R. Dean Adams,et al. High Performance Memory Testing: Design Principles, Fault Modeling and Self-Test , 2002 .
[8] Theodore H. Fedynyshyn,et al. Hybrid optical maskless lithography: Scaling beyond the 45nm node , 2005 .
[9] Malgorzata Marek-Sadowska,et al. OPC-Free and Minimally Irregular IC Design Style , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[10] N. Vallepalli,et al. A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.
[11] Sani R. Nassif,et al. Design for Manufacturability and Statistical Design - A Constructive Approach , 2007, Series on integrated circuits and systems.
[12] Marcel J. M. Pelgrom,et al. Matching properties of MOS transistors , 1988, ESSCIRC '88: Fourteenth European Solid-State Circuits Conference.
[13] Lars W. Liebmann,et al. OPC simplification and mask cost reduction using regular design fabrics , 2009, Advanced Lithography.
[14] Umut Arslan,et al. Variation-tolerant SRAM sense-amplifier timing using configurable replica bitlines , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[15] Rob A. Rutenbar,et al. Statistical Blockade: A Novel Method for Very Fast Monte Carlo Simulation of Rare Circuit Events, and its Application , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[16] Nitin Borkar,et al. Process, Temperature, and Supply-Noise Tolerant 45$~$nm Dense Cache Arrays With Diffusion-Notch-Free (DNF) 6T SRAM Cells and Dynamic Multi-Vcc Circuits , 2009, IEEE Journal of Solid-State Circuits.
[17] Rajiv V. Joshi,et al. Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[18] Sachin S. Sapatnekar,et al. Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[19] Jian Wang,et al. SRAM parametric failure analysis , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[20] K. Ishibashi,et al. A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits , 2007, IEEE Journal of Solid-State Circuits.
[21] Tejas Jhaveri,et al. Maximization of layout printability/manufacturability by extreme layout regularity , 2006, SPIE Advanced Lithography.
[22] Wei Dong,et al. SRAM dynamic stability: Theory, variability and analysis , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[23] R. K. Ursem. Multi-objective Optimization using Evolutionary Algorithms , 2009 .
[24] V. De,et al. The scaling of data sensing schemes for high speed cache design in sub-0.18 /spl mu/m technologies , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).
[25] Yuen H. Chan,et al. IBM POWER6 SRAM arrays , 2007, IBM J. Res. Dev..
[26] Jiajing Wang,et al. Analyzing static and dynamic write margin for nanometer SRAMs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
[27] Shyh-Chyi Wong,et al. Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .
[28] C. Wann,et al. SRAM cell design for stability methodology , 2005, IEEE VLSI-TSA International Symposium on VLSI Technology, 2005. (VLSI-TSA-Tech)..
[29] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[30] Andrzej J. Strojwas,et al. Application of the cost-per-good-die metric for process design co-optimization , 2010, Advanced Lithography.
[31] Lars W. Liebmann,et al. Simplify to survive: prescriptive layouts ensure profitable scaling to 32nm and beyond , 2009, Advanced Lithography.
[32] R.H. Dennard,et al. An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.
[33] Andrzej J. Strojwas,et al. Co-Optimization of Circuits, Layout and Lithography for Predictive Technology Scaling Beyond Gratings , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[34] David Blaauw,et al. Circuit and microarchitectural techniques for reducing cache leakage power , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[35] Sani R. Nassif,et al. Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[36] Jian Wang. Response surface modeling for analog and mixed-signal design , 2008 .