A Comparative Study Between Static and Dynamic Sleep Signal Generation Techniques for Leakage Tolerant Designs
暂无分享,去创建一个
[1] Brad Calder,et al. Basic block distribution analysis to find periodic behavior and simulation points in applications , 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.
[2] Alon Naveh,et al. Power and Thermal Management in the Intel Core Duo Processor , 2006 .
[3] Vivek De,et al. A new technique for standby leakage reduction in high-performance circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[4] Pradip Bose,et al. Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[5] Brad Calder,et al. Discovering and Exploiting Program Phases , 2003, IEEE Micro.
[6] A. J. KleinOsowski,et al. MinneSPEC: A New SPEC Benchmark Workload for Simulation-Based Computer Architecture Research , 2002, IEEE Computer Architecture Letters.
[7] Santosh Pande,et al. Optimizing Static Power Dissipation by Functional Units in Superscalar Processors , 2002, CC.
[8] Michael Gschwind,et al. New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors , 2003, IBM J. Res. Dev..
[9] Satoshi Shigematsu,et al. Design method of MTCMOS power switch for low-voltage high-speed LSIs , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
[10] Luca Benini,et al. Post-layout leakage power minimization based on distributed sleep transistor insertion , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[11] Kaushik Roy,et al. Low voltage low power CMOS design techniques for deep submicron ICs , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
[12] Mohamed I. Elmasry,et al. Dynamic Standby Prediction for Leakage Tolerant Microprocessor Functional Units , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[13] Todd M. Austin,et al. SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.
[14] Satoshi Shigematsu,et al. A 1-V high-speed MTCMOS circuit scheme for power-down application circuits , 1997, IEEE J. Solid State Circuits.
[15] James Kao,et al. Subthreshold leakage modeling and reduction techniques , 2002, ICCAD 2002.
[16] Mohamed I. Elmasry,et al. Design and optimization of multithreshold CMOS (MTCMOS) circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[17] S. Tam,et al. A 65-nm Dual-Core Multithreaded Xeon® Processor With 16-MB L3 Cache , 2007, IEEE Journal of Solid-State Circuits.
[18] George Varghese,et al. Catching accurate profiles in hardware , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[19] Jeffrey Su,et al. A dual-core 64-bit ultraSPARC microprocessor for dense server applications , 2004, IEEE Journal of Solid-State Circuits.
[20] Michael Immediato,et al. Enchanced multi-threshold (MTCMOS) circuits using variable well bias , 2001, ISLPED '01.
[21] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[22] Narayanan Vijaykrishnan,et al. Adapting instruction level parallelism for optimizing leakage in VLIW architectures , 2003 .
[23] Vivek De,et al. Variations-aware low-power design with voltage scaling , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[24] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.
[25] Brad Calder,et al. Transition phase classification and prediction , 2005, 11th International Symposium on High-Performance Computer Architecture.
[26] Mikko H. Lipasti,et al. Modern Processor Design: Fundamentals of Superscalar Processors , 2002 .
[27] Mohamed I. Elmasry,et al. Multi-Threshold CMOS Digital Circuits: Managing Leakage Power , 2003 .
[28] S. Borkar,et al. Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[29] S. Kosonocky,et al. Enhanced multi-threshold (MTCMOS) circuits using variable well bias , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
[30] Mohamed I. Elmasry,et al. Multi-Threshold CMOS Digital Circuits , 2003 .
[31] Mohamed A. Elgamel,et al. Noise tolerant low voltage XOR-XNOR for fast arithmetic , 2003, GLSVLSI '03.
[32] Mark C. Johnson,et al. Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks , 1998, ISLPED '98.