Ultralow-voltage, minimum-energy CMOS
暂无分享,去创建一个
David Blaauw | Bo Zhai | Dennis Sylvester | Edward J. Nowak | Wilfried Haensch | Scott Hanson | Andres Bryant | Leland Chang | Koushik K. Das | Kerry Bernstein
[1] Hector Sanchez,et al. The PowerPC 603 microprocessor: a low-power design for portable applications , 1994, Proceedings of COMPCON '94.
[2] K. Roy,et al. Double gate-MOSFET subthreshold circuit for ultralow power applications , 2004, IEEE Transactions on Electron Devices.
[3] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[4] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[5] R. M. Swanson,et al. Ion-implanted complementary MOS transistors in low-voltage circuits , 1972 .
[6] Thomas D. Burd,et al. Design issues for Dynamic Voltage Scaling , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[7] Sani R. Nassif,et al. High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.
[8] A.P. Chandrakasan,et al. A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[9] J. Burr,et al. Cryogenic ultra low power CMOS , 1995, 1995 IEEE Symposium on Low Power Electronics. Digest of Technical Papers.
[10] Terence B. Hook,et al. Noise margin and leakage in ultra-low leakage SRAM cell design , 2002 .
[11] Vivek De,et al. Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs , 2001, ISLPED '01.
[12] Anantha Chandrakasan,et al. Optimal supply and threshold scaling for subthreshold CMOS circuits , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[13] E. Nowak,et al. Low-power CMOS at Vdd = 4kT/q , 2001, Device Research Conference. Conference Digest (Cat. No.01TH8561).
[14] S. Kosonocky,et al. Enhanced multi-threshold (MTCMOS) circuits using variable well bias , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
[15] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[16] Arvind Kumar,et al. Silicon CMOS devices beyond scaling , 2006, IBM J. Res. Dev..
[17] 藤田 哲也,et al. A 0.9V 150MHz 10mW 4mm^2 2-D Discrete Cosine Transform Core Processor with Variable Threshold-Voltage (VT) Scheme , 1996 .
[18] A. Toriumi,et al. Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's , 1994 .
[19] David Blaauw,et al. Energy optimization of subthreshold-voltage sensor network processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[20] J. Meindl,et al. The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.
[21] Michael Immediato,et al. Enchanced multi-threshold (MTCMOS) circuits using variable well bias , 2001, ISLPED '01.
[22] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[23] Jeffrey A. Davis,et al. The fundamental limit on binary switching energy for terascale integration (TSI) , 2000, IEEE Journal of Solid-State Circuits.
[24] T. Fujita,et al. A 0.9 V 150 MHz 10 mW 4 mm/sup 2/ 2-D discrete cosine transform core processor with variable-threshold-voltage scheme , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[25] Shin'ichiro Mutoh,et al. 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.
[26] Farid N. Najm,et al. A gate-level leakage power reduction method for ultra-low-power CMOS circuits , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.
[27] Jan M. Rabaey,et al. Standby supply voltage minimization for deep sub-micron SRAM , 2005, Microelectron. J..
[28] David Blaauw,et al. The limit of dynamic voltage scaling and insomniac dynamic voltage scaling , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[29] Kaushik Roy,et al. Device optimization for ultra-low power digital sub-threshold operation , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[30] John M. Cohn,et al. Managing power and performance for system-on-chip designs using Voltage Islands , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
[31] Anantha Chandrakasan,et al. Characterizing and modeling minimum energy operation for subthreshold circuits , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[32] David Blaauw,et al. Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[33] Mark Horowitz,et al. Energy dissipation in general purpose microprocessors , 1996, IEEE J. Solid State Circuits.
[34] Norman P. Jouppi,et al. Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction , 2003, MICRO.
[35] Satoshi Shigematsu,et al. A 1-V high-speed MTCMOS circuit scheme for power-down application circuits , 1997, IEEE J. Solid State Circuits.