Towards cool and reliable digital systems: RT level CED techniques with runtime adaptability

In response to the rising fault susceptibility of ICs due to aggressive device scaling, a number of concurrent error detection (CED) techniques have been proposed. Most existing techniques address the problem at device or logic level. To account for the significant process variations and device aging of today's nano-meter devices, these techniques must always aim at the worst case of fault susceptibility. Recognizing that the power consumption of the CED circuitry for different fault susceptibility varies significantly, these techniques could result in significant overhead. In this paper, we propose register transfer level CED techniques that can be adjusted at runtime according to the actual need. The proposed high-level synthesis technique ensures that the generated datapath consumes minimal power for any CED capability it has been turned to. The proposed approach is tested using known benchmarks.

[1]  Niraj K. Jha,et al.  Register binding-based RTL power management for control-flow intensive designs , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Ravishankar K. Iyer,et al.  Recent advances and new avenues in hardware-level reliability support , 2005, IEEE Micro.

[3]  Mikko H. Lipasti,et al.  An accurate flip-flop selection technique for reducing logic SER , 2008, 2008 IEEE International Conference on Dependable Systems and Networks With FTCS and DCC (DSN).

[4]  Janak H. Patel,et al.  Concurrent Error Detection in ALU's by Recomputing with Shifted Operands , 1982, IEEE Transactions on Computers.

[5]  Chong-Min Kyung,et al.  FAMOS: an efficient scheduling algorithm for high-level synthesis , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Brian W. Kernighan,et al.  An Effective Heuristic Algorithm for the Traveling-Salesman Problem , 1973, Oper. Res..

[7]  D. Helms,et al.  Binding, Allocation and Floorplanning in Low Power High-Level Synthesis , 2003, ICCAD 2003.

[8]  Mahdi Fazeli,et al.  An energy efficient circuit level technique to protect register file from MBUs and SETs in embedded processors , 2009, 2009 IEEE/IFIP International Conference on Dependable Systems & Networks.

[9]  Michael Nicolaidis,et al.  A CAD framework for generating self-checking multipliers based on residue codes , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[10]  Hon Keung Kwan,et al.  A multi-output second-order digital filter structure for VLSI implementation , 1985 .

[11]  Ramesh Karri,et al.  Fault secure datapath synthesis using hybrid time and hardware redundancy , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Yvon Savaria,et al.  Methods for minimizing dynamic power consumption in synchronous designs with multiple supply voltages , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Massoud Pedram,et al.  Module assignment for low power , 1996, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition.

[14]  Naresh R. Shanbhag,et al.  Sequential Element Design With Built-In Soft Error Resilience , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Liyi Xiao,et al.  Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[16]  Rong Luo,et al.  Impact of process variation on soft error vulnerability for nanometer VLSI circuits , 2005, 2005 6th International Conference on ASIC.

[17]  Eike Schmidt,et al.  Estimation of lower and upper bounds on the power consumption from scheduled data flow graphs , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[18]  Sophocles J. Orfanidis,et al.  Introduction to signal processing , 1995 .

[19]  Wayne H. Wolf,et al.  Unification of scheduling, binding, and retiming to reduce power consumption under timings and resources constraints , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Niraj K. Jha,et al.  High-level synthesis of power-optimized and area-optimized circuits from hierarchical data-flow intensive behaviors , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  Brian W. Kernighan,et al.  An efficient heuristic procedure for partitioning graphs , 1970, Bell Syst. Tech. J..

[22]  Yu Liu,et al.  An ILP formulation to Unify Power Efficiency and Fault Detection at Register-Transfer Level , 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[23]  Arun K. Somani,et al.  Low Overhead Soft Error Mitigation Techniques for High-Performance and Aggressive Designs , 2012, IEEE Trans. Computers.

[24]  Kaushik Roy,et al.  Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[25]  Saraju P. Mohanty,et al.  Simultaneous peak and average power minimization during datapath scheduling , 2005, IEEE Trans. Circuits Syst. I Regul. Pap..

[26]  E. F. Girczyc,et al.  HAL: A Multi-Paradigm Approach to Automatic Data Path Synthesis , 1986, 23rd ACM/IEEE Design Automation Conference.

[27]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[28]  Niraj K. Jha,et al.  Techniques for efficiently implementing totally self-checking checkers in MOS te , 1987 .

[29]  Nikolaos Gaitanis Totally Self-Checking Checkers with Separate Internal Fault Indication , 1988, IEEE Trans. Computers.

[30]  B. Narasimham,et al.  Characterization of Digital Single Event Transient Pulse-Widths in 130-nm and 90-nm CMOS Technologies , 2007, IEEE Transactions on Nuclear Science.