iQ: An Efficient and Flexible Queue-Based Simulation Framework
暂无分享,去创建一个
[1] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[2] Lieven Eeckhout,et al. Microarchitecture-Independent Workload Characterization , 2007, IEEE Micro.
[3] David M. Brooks,et al. Accurate and efficient regression modeling for microarchitectural performance and power prediction , 2006, ASPLOS XII.
[4] Mateo Valero,et al. An Abstraction Methodology for the Evaluation of Multi-core Multi-threaded Architectures , 2011, 2011 IEEE 19th Annual International Symposium on Modelling, Analysis, and Simulation of Computer and Telecommunication Systems.
[5] James E. Smith,et al. Modeling superscalar processors via statistical simulation , 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.
[6] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[7] Thin-Fong Tsuei,et al. Queuing Simulation Model for Multiprocessor Systems , 2003, Computer.
[8] Jeanine Cook,et al. A statistical performance model of the opteron processor , 2011, PERV.
[9] Lieven Eeckhout,et al. Hybrid analytical-statistical modeling for efficiently exploring architecture and workload design spaces , 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.
[10] A. Varga,et al. THE OMNET++ DISCRETE EVENT SIMULATION SYSTEM , 2003 .
[11] David Meisner,et al. Stochastic Queuing Simulation for Data Center Workloads , 2010 .
[12] Christoforos E. Kozyrakis,et al. ZSim: fast and accurate microarchitectural simulation of thousand-core systems , 2013, ISCA.
[13] Alejandro Duran,et al. Trace-driven simulation of multithreaded applications , 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.
[14] Ronald G. Dreslinski,et al. Sources of error in full-system simulation , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[15] Roland E. Wunderlich,et al. SMARTS: accelerating microarchitecture simulation via rigorous statistical sampling , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[16] Junjie Wu,et al. BigHouse: A simulation infrastructure for data center systems , 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.
[17] - Fong Tsuei,et al. A Processor Queuing Simulation Model for Multiprocessor System Performance Analysis Thin , 2001 .
[18] D. Potier,et al. QNAP 2:A portable environment for queueing systems modelling , 1984 .
[19] David Black-Schaffer,et al. Micro-architecture independent analytical processor performance and power modeling , 2015, 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[20] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[21] Lieven Eeckhout,et al. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).
[22] André Seznec,et al. Choosing representative slices of program execution for microarchitecture simulations: a preliminary , 2000 .
[23] Eduard Ayguadé,et al. TaskPoint: Sampled simulation of task-based programs , 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).