Joint optimization of layout and litho for SRAM and logic towards the 20nm node using 193i

This paper reports on a simulation study in which we compare different possibilities to find a litho solution for SRAM and Logic for planar technology nodes between 28 nm and 20 nm, using 193 nm immersion lithography. At these nodes, it becomes essential to include the layout itself into the optimization process. The so-called gridded layout style is an attractive candidate to facilitate the printability of several layers, but the benefit of this style, as compared to less restricted layout styles, is not well quantified for the various technology nodes of interest. We therefore compare it with two other, less restricted, layout styles, on an identical (small) SRAM-Logic test chip. Exploring a number of paths in the layout-style - litho-options search space, we try to quantify merits and trade-offs for some of the relevant options. We will show that layout restrictions are really becoming mandatory for the technology nodes studied in this paper. Other important enablers for these aggressive nodes are multiple patterning, the use of a local-interconnect layer, negative-tone development, SMO and the use of optimized free-form illumination sources (from which we also include a few initial wafer results).

[1]  G. Vandenberghe,et al.  Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells , 2010, Advanced Lithography.

[2]  Dick James Design-for-manufacturing features in nanometer logic processes - a reverse engineering perspective , 2009, 2009 IEEE Custom Integrated Circuits Conference.

[3]  D. Sylvester Design for manufacturability: challenges and opportunities , 2005, 2005 6th International Conference on ASIC.

[4]  Shinji Tarutani,et al.  Printing the metal and contact layers for the 32- and 22-nm node: comparing positive and negative tone development process , 2010, Advanced Lithography.

[5]  Martin Lefebvre,et al.  A study of the use of local interconnect in CMOS leaf cell design , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[6]  Kevin J. Nowka,et al.  Physical design challenges beyond the 22nm node , 2010, ISPD '10.

[7]  Thomas Mülders,et al.  Simultaneous source-mask optimization: a numerical combining method , 2010, Photomask Technology.

[8]  Hidetoshi Onodera,et al.  Manufacturability-Aware Design of Standard Cells , 2007, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

[9]  Michael C. Smayling,et al.  Low k1 logic design using gridded design rules , 2008, SPIE Advanced Lithography.

[10]  Hao Chen,et al.  Double patterning combined with shrink technique to extend ArF lithography for contact holes to 22nm node and beyond , 2008, SPIE Advanced Lithography.

[11]  Michael C. Smayling,et al.  Joint-optimization for SRAM and logic for 28nm node and below , 2010, Advanced Lithography.

[12]  Y. Toyoshima,et al.  Manufacturable local interconnect technology fully compatible with titanium salicide process , 1991, 1991 Proceedings Eighth International IEEE VLSI Multilevel Interconnection Conference.

[13]  Andrew R. Neureuther,et al.  From poly line to transistor: building BSIM models for non-rectangular transistors , 2006, SPIE Advanced Lithography.

[14]  Brian Taylor,et al.  Exact Combinatorial Optimization Methods for Physical Design of Regular Logic Bricks , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[15]  Davide Pandini,et al.  Maximization of layout printability/manufacturability by extreme layout regularity , 2007 .

[16]  Keita Katou,et al.  High volume manufacturing capability of negative tone development process , 2011, Advanced Lithography.

[17]  Puneet Gupta,et al.  On Electrical Modeling of Imperfect Diffusion Patterning , 2010, 2010 23rd International Conference on VLSI Design.

[18]  Michael C. Smayling,et al.  22nm logic lithography in the presence of local interconnect , 2010, Advanced Lithography.

[19]  Lars W. Liebmann DfM, the teenage years , 2008, SPIE Advanced Lithography.

[20]  Alan E. Rosenbluth,et al.  Optimum mask and source patterns to print a given shape , 2002 .

[21]  Clair Webb,et al.  Intel design for manufacturing and evolution of design rules , 2008, SPIE Advanced Lithography.

[22]  Michael C. Smayling,et al.  32nm and below logic patterning using optimized illumination and double patterning , 2009, Advanced Lithography.

[23]  Huixiong Dai,et al.  Gridded design rule scaling: taking the CPU toward the 16nm node , 2009, Advanced Lithography.

[24]  Kazutoshi Kobayashi,et al.  Erect of regularity-enhanced layout on printability and circuit performance of standard cells , 2009, 2009 10th International Symposium on Quality Electronic Design.