ApproxPIM: Exploiting realistic 3D-stacked DRAM for energy-efficient processing in-memory
暂无分享,去创建一个
Huawei Li | Xiaowei Li | Yibin Tang | Ying Wang | Huawei Li | Xiaowei Li | Ying Wang | Yibin Tang
[1] Jung Ho Ahn,et al. Memory Network : Enabling Technology for Scalable Near-Data Computing , 2014 .
[2] Steven Swanson,et al. Near-Data Processing: Insights from a MICRO-46 Workshop , 2014, IEEE Micro.
[3] Franz Franchetti,et al. A 3D-stacked logic-in-memory accelerator for application-specific data intensive computing , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).
[4] Shengsheng Huang,et al. HiBench : A Representative and Comprehensive Hadoop Benchmark Suite , 2012 .
[5] Nilay Khare,et al. Parallelization of KMP String Matching Algorithm on Different SIMD architectures: Multi-Core and GPGPU’s , 2012 .
[6] Pedro López,et al. Multi2Sim: A Simulation Framework to Evaluate Multicore-Multithreaded Processors , 2007, 19th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'07).
[7] Thomas Krause,et al. A 12.5Gb/s SerDes in 65nm CMOS Using a Baud-Rate ADC with Digital Receiver Equalization and Clock Recovery , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[8] John Shalf,et al. Let there be light!: the future of memory systems is photonics and 3D stacking , 2011, MSPC '11.
[9] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[10] Seth H. Pugsley. Opportunities for near data computing in MapReduce workloads , 2015 .
[11] Yong Chen,et al. HMC-Sim: A Simulation Framework for Hybrid Memory Cube Devices , 2014, 2014 IEEE International Parallel & Distributed Processing Symposium Workshops.
[12] Kiyoung Choi,et al. A scalable processing-in-memory accelerator for parallel graph processing , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[13] Noah Treuhaft,et al. Intelligent RAM (IRAM): the industrial setting, applications, and architectures , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[14] Anand Raghunathan,et al. Best-effort computing: Re-thinking parallel software and hardware , 2010, Design Automation Conference.
[15] Mike Ignatowski,et al. TOP-PIM: throughput-oriented programmable processing in memory , 2014, HPDC '14.
[16] Jung Ho Ahn,et al. CACTI-3DD: Architecture-level modeling for 3D die-stacked DRAM main memory , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).