Optimal Design of the Power-Delivery Network for Multiple Voltage-Island System-on-Chips

This paper introduces techniques for power-efficient design of power-delivery network (PDN) in multiple voltage-island system-on-chip (SoC) designs. The first technique is targeted to SoC designs with static-voltage assignment, while the second technique is pertinent to SoC designs with dynamic-voltage scaling (DVS) capability. Conventionally, a single-level configuration of dc-dc converters, where exactly one converter resides between the power source and each load, is used to deliver currents at appropriate voltage levels to different loads on the chip. In the presence of DVS capability, each dc-dc converter in this network should be able to adjust its output voltage. In the first part of this paper, it is shown that, in a SoC design with static-voltage assignment, a multilevel tree topology of suitably chosen dc-dc converters between the power source and loads can result in higher power efficiency in the PDN. The problem is formulated as a combinatorial problem and is efficiently solved by dynamic programming. In the second part of this paper, a new technique is presented to design the PDN for a SoC design to support DVS. In this technique, the PDN is composed of two layers. In the first layer, dc-dc converters with fixed output voltages are used to generate all voltage levels that are needed by different loads in the SoC design. In the second layer of the PDN, a power-switch network is used to dynamically connect the power-supply terminals of each load to the appropriate dc-dc converter output in the first layer. Experimental results demonstrate the efficacy of both techniques.

[1]  T. Karnik,et al.  Area-efficient linear regulator with ultra-fast load regulation , 2005, IEEE Journal of Solid-State Circuits.

[2]  Krste Asanovic,et al.  Reducing power density through activity migration , 2003, ISLPED '03.

[3]  Takayasu Sakurai,et al.  A simple MOSFET model for circuit analysis , 1991 .

[4]  Ali Iranli System-Level Power Management: An Overview , 2006 .

[5]  Massoud Pedram,et al.  Design of an efficient power delivery network in an soc to enable dynamic power management , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[6]  Sheldon M. Ross,et al.  Introduction to Probability Models, Eighth Edition , 1972 .

[7]  Ronald L. Graham,et al.  Concrete mathematics - a foundation for computer science , 1991 .

[8]  Thomas D. Burd,et al.  Design issues for Dynamic Voltage Scaling , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[9]  Rajendran Panda,et al.  A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[10]  W. Borland Decoupling of High Performance Semiconductors Using Embedded Capacitor Technology , 2006, 2006 15th ieee international symposium on the applications of ferroelectrics.

[11]  Sungjun Chun Methodologies for modeling simultaneous switching noise in multi-layered packages and boards , 2002 .

[12]  Donald L. Kreher,et al.  Combinatorial algorithms: generation, enumeration, and search , 1998, SIGA.

[13]  Malgorzata Marek-Sadowska,et al.  On-chip power-supply network optimization using multigrid-based technique , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Sani R. Nassif,et al.  An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts , 2002, ISPD '02.

[15]  Sheldon M. Ross,et al.  Introduction to probability models , 1975 .

[16]  G. Patounakis,et al.  A fully integrated on-chip DC-DC conversion and power management system , 2004, IEEE Journal of Solid-State Circuits.

[17]  Jong-Gwan Yook,et al.  Multilayer power delivery network design for high-speed microprocessor system , 2003, 53rd Electronic Components and Technology Conference, 2003. Proceedings..

[18]  Behnam Amelifard,et al.  Power efficient design of SRAM arrays and optimal design of signal and power distribution networks in VLSI circuits , 2007 .

[19]  John M. Cohn,et al.  Managing power and performance for system-on-chip designs using Voltage Islands , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[20]  Kaushik Roy,et al.  Decoupling capacitance allocation for power supply noise suppression , 2001, ISPD '01.

[21]  Robert W. Brodersen,et al.  High-efficiency low-voltage dc-dc conversion for portable applications , 1994 .

[22]  William J. Dally,et al.  Digital systems engineering , 1998 .

[23]  Bishop Brock,et al.  A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling , 2002, IEEE J. Solid State Circuits.

[24]  Massoud Pedram,et al.  Optimal Selection of Voltage Regulator Modules in a Power Delivery Network , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[25]  Larry D. Smith,et al.  Power distribution system design methodology and capacitor selection for modern CMOS technology , 1999 .