Improving compression ratio, area overhead, and test application time for system-on-a-chip test data compression/decompression

Proposes a new test data compression/decompression method for systems-on-a-chip. The method is based on analyzing the factors that influence test parameters: compression ratio, area overhead and test application time. To improve compression ratio, the new method is based on a variable-length input Huffman coding (VIHC), which fully exploits the type and length of the patterns, as well as a novel mapping and reordering algorithm proposed in a pre-processing step. The new VIHC algorithm is combined with a novel parallel on-chip decoder that simultaneously leads to low test application time and low area overhead. It is shown that, unlike three previous approaches which reduce some test parameters at the expense of the others, the proposed method is capable of improving all the three parameters simultaneously. An experimental comparison on benchmark circuits validates the proposed method.

[1]  Irith Pomeranz,et al.  COMPACTEST: a method to generate compact test sets for combinational circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  David F. Heidel,et al.  High speed serializing/de-serializing design-for-test method for evaluating a 1 GHz microprocessor , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[3]  Dong Sam Ha,et al.  COMPACT: a hybrid method for compressing test data , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[4]  Nur A. Touba,et al.  Test vector decompression via cyclical scan chains and its application to testing core-based designs , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[5]  Yervant Zorian,et al.  Towards a standard for embedded core test: an example , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[6]  Nur A. Touba,et al.  Scan vector compression/decompression using statistical coding , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[7]  Nur A. Touba,et al.  Using an embedded processor for efficient deterministic testing of systems-on-a-chip , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[8]  Krishnendu Chakrabarty,et al.  Deterministic Built-in Pattern Generation for Sequential Circuits , 1999, J. Electron. Test..

[9]  Janak H. Patel,et al.  Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[10]  Yervant Zorian,et al.  Test of future system-on-chips , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[11]  Krishnendu Chakrabarty,et al.  System-on-a-chip test-data compression and decompressionarchitectures based on Golomb codes , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Brion L. Keller,et al.  A SmartBIST variant with guaranteed encoding , 2001, Proceedings 10th Asian Test Symposium.

[13]  Aiman H. El-Maleh,et al.  A geometric-primitives-based compression scheme for testing systems-on-a-chip , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[14]  Krishnendu Chakrabarty,et al.  Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.